diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/README.md b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/README.md new file mode 100644 index 0000000..09b07ef --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/README.md @@ -0,0 +1,14 @@ +Chip: [Infineon Optiga SLB 9670 TPM2.0](https://www.infineon.com/cms/en/product/security-smart-card-solutions/optiga-embedded-security-solutions/optiga-tpm/slb-9670vq2.0/) + +Order codes: +SLB9670VQ20FW785XTMA1 (standard temp. range) +SLB9670XQ20FW785XUMA1 (extended temp. range) + +Both options work. Package: PG-VQFN-32-13 + +Chip supply 1.8V or 3.3V, do not use with a 5V header (if that exists?) + +![tpm-module-14pin-spi-CTM010-render-front](./render-front.png) +![tpm-module-14pin-spi-CTM010-render-rear](./render-rear.png) +![tpm-module-14pin-spi-CTM010-pcb](./pcb.png) +![tpm-module-14pin-spi-CTM010-schematic](./schematic.png) diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/fp-lib-table b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/fp-lib-table new file mode 100644 index 0000000..ff09c1c --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name "footprints")(type "KiCad")(uri "/home/fredrick/kicad-workspace/Replacement-TPM-2.0-module/kicad-libraries/footprints.pretty")(options "")(descr "")) +) diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/pcb.png b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/pcb.png new file mode 100644 index 0000000..56a227e Binary files /dev/null and b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/pcb.png differ diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-front.png b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-front.png new file mode 100644 index 0000000..2cb7148 Binary files /dev/null and b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-front.png differ diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-rear.png b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-rear.png new file mode 100644 index 0000000..e0fb479 Binary files /dev/null and b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/render-rear.png differ diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/schematic.png b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/schematic.png new file mode 100644 index 0000000..2f24ad4 Binary files /dev/null and b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/schematic.png differ diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/sym-lib-table b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/sym-lib-table new file mode 100644 index 0000000..07c34b7 --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (lib (name "tpm-chips")(type "KiCad")(uri "/home/fredrick/kicad-workspace/Replacement-TPM-2.0-module/kicad-libraries/tpm-chips.kicad_sym")(options "")(descr "")) + (lib (name "infineon-optiga-tpm-slb-9670-tpm-2.0-2024-02-27_11-26-10")(type "KiCad")(uri "/home/fredrick/kicad-workspace/Replacement-TPM-2.0-module/kicad-libraries/infineon-optiga-tpm-slb-9670-tpm-2.0-2024-02-27_11-26-10.kicad_sym")(options "")(descr "")) +) diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pcb b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pcb new file mode 100644 index 0000000..59b8a28 --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pcb @@ -0,0 +1,3583 @@ +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 2" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 3" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 6) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "IRQ") + (net 3 "SPI_CLK") + (net 4 "SPI_MOSI") + (net 5 "SPI_MISO") + (net 6 "unconnected-(IC1-GPIO-Pad6)") + (net 7 "unconnected-(IC1-PP-Pad7)") + (net 8 "unconnected-(J1-Pin_4-Pad4)") + (net 9 "unconnected-(J1-Pin_8-Pad8)") + (net 10 "unconnected-(J1-Pin_9-Pad9)") + (net 11 "unconnected-(J1-Pin_10-Pad10)") + (net 12 "unconnected-(J1-Pin_11-Pad11)") + (net 13 "VDD") + (net 14 "RESET") + (net 15 "SPI_CS") + + (footprint "Capacitor_SMD:C_0402_1005Metric" (layer "F.Cu") + (tstamp 0d48f3de-429a-44f6-87e8-8f0675772236) + (at 145.796 86.8452 90) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/02bd4d54-882e-439e-b1c2-88f37e7d09b2") + (attr smd) + (fp_text reference "C2" (at -0.734 -1.4224 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 10c18a44-b217-46b0-8cf5-1f283b614254) + ) + (fp_text value "100n" (at 0 1.16 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9583b999-2641-4d2c-b435-f2103ed80d01) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp eec258c5-6fc7-4772-bc59-0249c5026f3d) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 718860a4-2370-4b08-894a-a61b022b77ca)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fea6ec3-1b11-4e76-9d98-3848cb73e87d)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6727be5f-e0f0-450e-8a90-96241545fcbd)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21ab07ea-5e92-436f-8d00-1176b7381859)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6156e11a-8fc4-4e21-9bbd-93e8235729fc)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f252f815-98e2-40e1-ae26-1cc8551313d6)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27de7da1-433e-4de9-9313-9c069517127a)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71323e90-5a6a-421a-9dd1-5157bd1dfd57)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3e7275b-c882-4920-8f20-d4a8e3e592d4)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61bc4528-a99b-4cd6-8f10-94881abbbddc)) + (pad "1" smd roundrect (at -0.48 0 90) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp c1c6a7a9-b34f-4251-a2c4-95c09eb7c2fb)) + (pad "2" smd roundrect (at 0.48 0 90) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (zone_connect 2) (tstamp 4647e9a0-baf1-4e6c-a1e1-8365d460a298)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tstamp 1769a4ee-56a4-4b21-a55b-38833d8b6900) + (at 144.7292 95.504) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/c7fefcf9-ba90-436b-87c6-c31455c0a6e5") + (attr smd) + (fp_text reference "R2" (at -1.8796 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 978fe13c-feb0-443e-b566-e71a9879ed0c) + ) + (fp_text value "10k" (at 0 1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4d4b953f-a51e-4d7e-8bcf-56d8973a7909) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp d1aa4591-0aad-49d2-b7e4-ac402bc2d269) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba54f68c-3a3c-454b-bf91-288eef7bdbb5)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4e7a9e3-af79-46b6-9fc2-2110038e913a)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d65c1dbf-558b-4d05-9574-877f21142d4a)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb23a4c9-9b8e-4c03-9f30-5134b7d9f874)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce5ad14c-f178-4ebb-bff8-ad71849e120e)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aea8d1ce-9c20-43e7-a301-d76897641262)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2ebe2d68-b9e0-40c3-a9bb-ec5b3ca7f6e7)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10f73a82-efa7-4182-8c18-5203cbbb8a36)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71e22611-3472-4cfc-b46d-f8a0fceacc96)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 64a94a38-06be-4c83-9c72-91c2b50d3677)) + (pad "1" smd roundrect (at -0.51 0) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp 5642456e-136d-4324-94f6-e5084e15f21c)) + (pad "2" smd roundrect (at 0.51 0) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "IRQ") (pintype "passive") (tstamp e2474ed4-956e-41fd-b29a-7fddc05c1123)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinSocket_2.54mm:PinSocket_2x07_P2.54mm_Vertical_SMD" (layer "F.Cu") + (tstamp 53a533d2-704b-49aa-a740-67c21ceb6b4f) + (at 147.4575 101.487 90) + (descr "surface-mounted straight socket strip, 2x07, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Surface mounted socket strip SMD 2x07 2.54mm double row") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x07, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/7f7e32e8-e47e-41c2-adc4-ebdfb13f57e0") + (attr smd) + (fp_text reference "J1" (at 0 -10.39 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3142b1e9-9456-4756-bbbc-330c34af6869) + ) + (fp_text value "C84005" (at 0 10.39 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp df67ecc7-549f-451a-b32e-ac0109272f86) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ab536663-5210-4c3a-8354-d3bab6bab15a) + ) + (fp_line (start -2.6 -8.95) (end -2.6 -8.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6ac9939-f6c8-4cf9-9e7f-730c1dc30fe3)) + (fp_line (start -2.6 -8.95) (end 2.6 -8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2eeada2-6aea-4f24-9526-6b3f76b137c7)) + (fp_line (start -2.6 -6.86) (end -2.6 -5.84) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea627fc5-d71f-47c2-9bdb-ac1419621eea)) + (fp_line (start -2.6 -4.32) (end -2.6 -3.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00d676f4-012f-461f-835c-50bac121a127)) + (fp_line (start -2.6 -1.78) (end -2.6 -0.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 905865f9-c472-4b07-8ec4-a54c052852e6)) + (fp_line (start -2.6 0.76) (end -2.6 1.78) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dbc76d7-6de1-460c-af15-be4921002b74)) + (fp_line (start -2.6 3.3) (end -2.6 4.32) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4b456f5-996a-4967-ad0b-eff83f47a181)) + (fp_line (start -2.6 5.84) (end -2.6 6.86) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f019e57-561a-462d-b89b-8686fca2f76b)) + (fp_line (start -2.6 8.38) (end -2.6 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab3ac0c9-2ae0-4d1f-a570-caa489af781f)) + (fp_line (start -2.6 8.95) (end 2.6 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00960c02-91cd-46db-9baf-582caf8f4185)) + (fp_line (start 2.6 -8.95) (end 2.6 -8.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed38a832-88cb-4813-a4cc-874899c82e6a)) + (fp_line (start 2.6 -8.38) (end 3.96 -8.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4168f2e2-d21c-43e8-a29a-81f83bacd4a0)) + (fp_line (start 2.6 -6.86) (end 2.6 -5.84) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7677e488-4450-41cf-82a7-85e91d619da7)) + (fp_line (start 2.6 -4.32) (end 2.6 -3.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5887524d-d745-4f01-9d47-8c874e267a3a)) + (fp_line (start 2.6 -1.78) (end 2.6 -0.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e3f80b0-cd46-4fdd-b8c7-536e67843890)) + (fp_line (start 2.6 0.76) (end 2.6 1.78) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a44b7550-1225-485e-a93b-d8250094a44f)) + (fp_line (start 2.6 3.3) (end 2.6 4.32) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc9b9564-14cc-4507-98ff-65416551c51a)) + (fp_line (start 2.6 5.84) (end 2.6 6.86) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 592c5d2c-4db8-4cfd-bdad-95ecc5de3635)) + (fp_line (start 2.6 8.38) (end 2.6 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 719ce130-4f20-48c5-bfff-d5ec9359e836)) + (fp_line (start -4.55 -9.4) (end 4.5 -9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 813cdd6f-bda2-499e-a458-7fb92b4ed6a9)) + (fp_line (start -4.55 9.4) (end -4.55 -9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35dce370-4cc8-4a7f-b55f-d3873ea249ac)) + (fp_line (start 4.5 -9.4) (end 4.5 9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 407e9dbe-72ed-4a21-9fac-6d3e6a8354d6)) + (fp_line (start 4.5 9.4) (end -4.55 9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dd726cc-f229-45f9-a5f1-0e73be7ded6e)) + (fp_line (start -3.92 -7.94) (end -2.54 -7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c948a416-a188-42aa-9680-c65f550213fa)) + (fp_line (start -3.92 -7.3) (end -3.92 -7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd7944d2-0e3f-425f-92e3-e5f1997e6ee8)) + (fp_line (start -3.92 -5.4) (end -2.54 -5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f3eceab3-110e-4cb8-a1c1-af9ac902b5f9)) + (fp_line (start -3.92 -4.76) (end -3.92 -5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59f7bfc4-544b-43ea-82ce-2303dcdc5918)) + (fp_line (start -3.92 -2.86) (end -2.54 -2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a817884-bc21-4912-8768-25a4c6beefa1)) + (fp_line (start -3.92 -2.22) (end -3.92 -2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59e06041-3736-40a4-b926-d1e362f45de5)) + (fp_line (start -3.92 -0.32) (end -2.54 -0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 092dcc56-4ef7-464a-9dc9-4cb25e90d17c)) + (fp_line (start -3.92 0.32) (end -3.92 -0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8e47cc13-2558-4caf-83b2-5b85a060581b)) + (fp_line (start -3.92 2.22) (end -2.54 2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 057a73a0-836d-4222-8e79-11dd7cdb5e1f)) + (fp_line (start -3.92 2.86) (end -3.92 2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 223f83a9-5325-4cc6-8ae1-3e63f052655d)) + (fp_line (start -3.92 4.76) (end -2.54 4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85fac2ce-dc18-4020-8bf0-dcf151a6fb52)) + (fp_line (start -3.92 5.4) (end -3.92 4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f2ba3c6-7a18-4c96-a293-bec1daf65fef)) + (fp_line (start -3.92 7.3) (end -2.54 7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cfb2d89c-450d-4917-975d-bc2e321ab2a4)) + (fp_line (start -3.92 7.94) (end -3.92 7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp baf00da8-49dc-44fb-8e0d-bd2549150bd8)) + (fp_line (start -2.54 -8.89) (end 1.54 -8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9cc0da5-c619-4221-a1db-c776e7b57ddd)) + (fp_line (start -2.54 -7.3) (end -3.92 -7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80614407-60a9-4ef6-b3b0-b4fa94391b1f)) + (fp_line (start -2.54 -4.76) (end -3.92 -4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d16d482-6016-4755-8427-b6de12db2c15)) + (fp_line (start -2.54 -2.22) (end -3.92 -2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b50a39b8-de3a-470f-89ae-d41aef093b9a)) + (fp_line (start -2.54 0.32) (end -3.92 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5237f1a7-d8e1-4182-b4af-c4c06722eae0)) + (fp_line (start -2.54 2.86) (end -3.92 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43bcc7f5-b5a1-480b-b8ae-eca4545a47f7)) + (fp_line (start -2.54 5.4) (end -3.92 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4e6ad3a-056b-450d-aae6-f8dd350432ca)) + (fp_line (start -2.54 7.94) (end -3.92 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af213b76-77fc-404f-8d7f-ed37cc5702eb)) + (fp_line (start -2.54 8.89) (end -2.54 -8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c63d3ea6-8ce0-4521-ab1b-9c86c02085d5)) + (fp_line (start 1.54 -8.89) (end 2.54 -7.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee3d46ad-3fea-4e9f-89dd-335f50de7945)) + (fp_line (start 2.54 -7.94) (end 3.92 -7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c8ec3e91-1156-46c6-b8fc-426fb7b50288)) + (fp_line (start 2.54 -7.89) (end 2.54 8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35fc2e20-8eed-457b-a2ac-4c88bdf68816)) + (fp_line (start 2.54 -5.4) (end 3.92 -5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 318eef8f-0d2f-423e-b47c-fec56ebf6188)) + (fp_line (start 2.54 -2.86) (end 3.92 -2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 432e54bd-9ff5-4b48-ba28-017728d77825)) + (fp_line (start 2.54 -0.32) (end 3.92 -0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be05532f-df4b-4431-8094-f0e2eb8e2299)) + (fp_line (start 2.54 2.22) (end 3.92 2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b9006b3-5c0c-4c84-9360-41be4b5a7284)) + (fp_line (start 2.54 4.76) (end 3.92 4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d699e67a-044b-4ee0-be03-4f651ce3f19e)) + (fp_line (start 2.54 7.3) (end 3.92 7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 453729d8-2544-4977-a2a6-378ec42d926f)) + (fp_line (start 2.54 8.89) (end -2.54 8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b5d5e90-15ce-401d-8308-ef5bac6f57f2)) + (fp_line (start 3.92 -7.94) (end 3.92 -7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05b0c1ed-4876-4a65-89dc-d8654840e5c2)) + (fp_line (start 3.92 -7.3) (end 2.54 -7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d78a821a-6504-4cf6-9275-26b1769248c2)) + (fp_line (start 3.92 -5.4) (end 3.92 -4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e03b4b4a-3b3b-4493-a02f-bc421f469654)) + (fp_line (start 3.92 -4.76) (end 2.54 -4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 300f535c-d1fd-49b3-8772-7a9d05d7b730)) + (fp_line (start 3.92 -2.86) (end 3.92 -2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 51f22ccf-8d53-480a-9b02-7cf0b7370321)) + (fp_line (start 3.92 -2.22) (end 2.54 -2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70d09748-3fc6-4be3-aefd-e251c4bc14c0)) + (fp_line (start 3.92 -0.32) (end 3.92 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7cacfc2-1764-4c63-ac78-b2bf7420b6be)) + (fp_line (start 3.92 0.32) (end 2.54 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a00a1260-75e7-4cb7-9d62-085989bab216)) + (fp_line (start 3.92 2.22) (end 3.92 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27eb2ed0-f6ff-40ca-84d1-d79027b8dbdf)) + (fp_line (start 3.92 2.86) (end 2.54 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b492546b-581b-47af-9a87-2adddbd30d00)) + (fp_line (start 3.92 4.76) (end 3.92 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 82df206b-e323-4fa2-b3c1-7868db291227)) + (fp_line (start 3.92 5.4) (end 2.54 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f16f1bf-9e23-4793-8500-c42a2ebe0d8f)) + (fp_line (start 3.92 7.3) (end 3.92 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bed51e9f-ce6b-4009-b1f2-f4cae3bd10b9)) + (fp_line (start 3.92 7.94) (end 2.54 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a1f8d7a-1820-4e8a-84bd-5bd25dc1bb2d)) + (pad "1" smd rect (at 2.52 -7.62 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "SPI_CLK") (pinfunction "Pin_1") (pintype "passive") (tstamp 4d402a31-18ac-494f-bb5a-3011baea3e43)) + (pad "2" smd rect (at -2.52 -7.62 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "VDD") (pinfunction "Pin_2") (pintype "passive") (tstamp 370bcef4-9a4e-4895-b434-a17030a51001)) + (pad "3" smd rect (at 2.52 -5.08 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "RESET") (pinfunction "Pin_3") (pintype "passive") (tstamp dd54b724-bdcf-44b8-a869-fb6d7c5fe625)) + (pad "4" smd rect (at -2.52 -5.08 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "unconnected-(J1-Pin_4-Pad4)") (pinfunction "Pin_4") (pintype "passive+no_connect") (tstamp 88593234-af72-4a7a-b656-8f22e5f9d982)) + (pad "5" smd rect (at 2.52 -2.54 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "SPI_MISO") (pinfunction "Pin_5") (pintype "passive") (tstamp e348eff4-4599-43d5-86b8-6c2777b6f559)) + (pad "6" smd rect (at -2.52 -2.54 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "IRQ") (pinfunction "Pin_6") (pintype "passive") (tstamp cb08cd57-16c2-4d4e-85c7-b18bc15dd5e0)) + (pad "7" smd rect (at 2.52 0 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "SPI_MOSI") (pinfunction "Pin_7") (pintype "passive") (tstamp 5887aae2-ff98-453b-865b-8c3b8b5e73e6)) + (pad "8" smd rect (at -2.52 0 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "unconnected-(J1-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 90f3f265-ae3d-414e-9ad9-7b60c3fc880e)) + (pad "9" smd rect (at 2.52 2.54 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "unconnected-(J1-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp 693b5691-c6a4-45db-bd93-68e091cf2344)) + (pad "10" smd rect (at -2.52 2.54 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "unconnected-(J1-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp fd83ae0c-ccab-48dd-a3e6-10c8675bec5d)) + (pad "11" smd rect (at 2.52 5.08 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "unconnected-(J1-Pin_11-Pad11)") (pinfunction "Pin_11") (pintype "passive+no_connect") (tstamp 97db1a01-6199-452d-b62d-a00f7fe7b1cb)) + (pad "12" smd rect (at -2.52 5.08 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Pin_12") (pintype "passive") (zone_connect 2) (tstamp 4d527aab-cbe4-4b40-ad86-b3289952b53f)) + (pad "13" smd rect (at 2.52 7.62 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "SPI_CS") (pinfunction "Pin_13") (pintype "passive") (tstamp 07c1601f-eb68-435a-af74-198600dad14c)) + (pad "14" smd rect (at -2.52 7.62 90) (size 3 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Pin_14") (pintype "passive") (zone_connect 2) (tstamp 81a85739-2e81-4e0e-b61a-5d9804ea4c42)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x07_P2.54mm_Vertical_SMD.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0402_1005Metric" (layer "F.Cu") + (tstamp 6255bcf8-fbea-4f31-bbf6-857ae5228f4b) + (at 149.9108 95.73 -90) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/af85213b-cf47-4ab2-b231-2180cae1c450") + (attr smd) + (fp_text reference "C3" (at 0.028 1.0668 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 608018c9-2fde-486a-ada1-c97eebbafeb3) + ) + (fp_text value "100n" (at 0 1.16 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3ba97e5a-ebe7-458f-81fb-4d278066ce1d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 28ef2aad-c541-4d2e-b13c-d5c31599db6d) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86c9fa0b-2602-4343-b240-6612121a55d6)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f40e36bc-ef7b-4b43-b89f-a9964c71d2f5)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b195c10-dced-4a82-b0a5-3ce969dd0a41)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c89a61c-340a-43a1-8e8e-c8ab25ffb57a)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c0803a5-fa96-4a05-973a-acac67cd45bc)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3eed6f44-2111-4f21-a828-56b2fdc33a06)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08e77bce-b9ca-4e8f-b7ea-6fc8e77b0e95)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5777366d-0c14-4e36-b059-6f8a26995306)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 688fa9e6-23fb-4f27-a3a4-b2ff0d046fb8)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c70437d7-53e2-4a4d-92c5-f963aac65ae7)) + (pad "1" smd roundrect (at -0.48 0 270) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp f282a7a3-34d2-43d2-8fdb-522e210d74f4)) + (pad "2" smd roundrect (at 0.48 0 270) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (zone_connect 2) (tstamp 361340b1-a1d9-423e-902a-6120ebc1bbc4)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0402_1005Metric" (layer "F.Cu") + (tstamp 8e44dda0-681b-428c-9a6d-9a36173e1789) + (at 154.2288 91.0336) + (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/1ea9afa5-4d26-4a92-af54-01c5ef371380") + (attr smd) + (fp_text reference "C1" (at 1.8796 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 46e169ab-4651-494b-8204-98b6c21f5a1d) + ) + (fp_text value "1u" (at 0 1.16) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85dd9820-cbc6-416d-9f8b-540d70dd7e1a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 46ca481b-5bf0-4d9c-883a-23b9e5971b8a) + ) + (fp_line (start -0.107836 -0.36) (end 0.107836 -0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1518351c-a8cd-4189-8f21-5e14aacfeba4)) + (fp_line (start -0.107836 0.36) (end 0.107836 0.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00e3fee1-7288-4540-bd0d-1111c717d19e)) + (fp_line (start -0.91 -0.46) (end 0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bfa62b4-65b8-4543-a38d-a4e3ed0ee702)) + (fp_line (start -0.91 0.46) (end -0.91 -0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4b66de7-9d61-4f9e-89e6-de858fd9c785)) + (fp_line (start 0.91 -0.46) (end 0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e7e9d9b-554c-4e77-b537-402acf9a9499)) + (fp_line (start 0.91 0.46) (end -0.91 0.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da87faaf-a832-4e80-ae5c-0223d94c8558)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e884cd5-0030-4fc0-b1c8-f0df6bbc87be)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2650badb-b44a-47d3-8fc4-7b8918f1eedd)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 043cbec8-5aa5-4f87-8a3a-7c169a1550db)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fbb5422-39be-4592-be46-47cd4cfddd12)) + (pad "1" smd roundrect (at -0.48 0) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp bd7e8952-825c-42c2-9c2e-1dd510736ceb)) + (pad "2" smd roundrect (at 0.48 0) (size 0.56 0.62) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (zone_connect 2) (tstamp ffc7c3d7-43dd-4ed5-a6f0-1baa4c8a4c36)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tstamp afe03d40-5939-478a-9467-e2bf8cce468f) + (at 142.3924 93.218 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/0f686736-c384-425e-914f-dc595efe9302") + (attr smd) + (fp_text reference "R3" (at 0 1.1684 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 696ee61b-15e4-4d05-b869-952c29039ac3) + ) + (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 865e09cd-5363-44e3-89f7-1293894f5a03) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 6cfcca61-c609-4c3d-bc45-5c2453eec3b9) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37797a42-b52c-43e8-8801-84645b2f69c6)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 188deee2-5590-4d79-81ff-3eb955741d83)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84c6e2c2-0ee6-455e-8dd3-e435deb889d1)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 836bbf3d-4550-4c02-b206-2157ab087c9c)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0cfd33b-ad3a-49c6-b000-b4a14c15bda1)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d7daac9-9d4d-4b6c-9c1e-2ea2940edec9)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4f48720-d000-44ef-b425-2c58fe5e3f53)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d376afb-0db1-4fc0-b643-62cca5efd7da)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0f6164f-554c-4196-a1a9-cd5468ba0be8)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2df9745b-ee09-4f95-83cd-6b52daeed138)) + (pad "1" smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp 2dd003fb-2416-4c6a-8355-38e6102e6ffd)) + (pad "2" smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "RESET") (pintype "passive") (tstamp ed52239f-e0b6-4060-b87d-fda33dc96ea5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm" (layer "F.Cu") + (tstamp cdf9d416-3c43-418b-9677-0b59cb373d00) + (at 147.56 91.313 -90) + (descr "QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=20), generated with kicad-footprint-generator ipc_noLead_generator.py") + (tags "QFN NoLead") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (path "/41d51542-cb1d-4b70-a974-67a29a1b4ac7") + (attr smd) + (fp_text reference "IC1" (at -3.782 0.1025 -180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 26590855-a0fb-4c43-b433-0169d3abbd5e) + ) + (fp_text value "SLB 9670XQ2.0 FW7.85" (at 0 3.82 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 48f174ae-69f0-4fd8-a1e0-914ce9137905) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 40c9f9fa-0c38-41b6-b7e7-9e96f69f63a1) + ) + (fp_line (start -2.61 -2.135) (end -2.61 -2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f73a972-de57-4c53-a21a-6c0c65be02b3)) + (fp_line (start -2.61 2.61) (end -2.61 2.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54da7a26-4cb6-405f-9173-dcda58c8e031)) + (fp_line (start -2.135 -2.61) (end -2.31 -2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35da8303-aca2-4ef5-9748-30d0dbdfcb1d)) + (fp_line (start -2.135 2.61) (end -2.61 2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df47b68c-c382-4a39-92f0-ea397fe5ffab)) + (fp_line (start 2.135 -2.61) (end 2.61 -2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d94c9232-7d5d-4685-9929-8cb76d156824)) + (fp_line (start 2.135 2.61) (end 2.61 2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1751ddce-72d0-4a05-9767-a80bafabbb62)) + (fp_line (start 2.61 -2.61) (end 2.61 -2.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 165720e9-eb5c-4828-84c9-3599705a27ec)) + (fp_line (start 2.61 2.61) (end 2.61 2.135) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de6919a4-b66f-493f-b6c4-402a366e142a)) + (fp_poly + (pts + (xy -2.61 -2.61) + (xy -2.85 -2.94) + (xy -2.37 -2.94) + (xy -2.61 -2.61) + ) + + (stroke (width 0.12) (type solid)) (fill solid) (layer "F.SilkS") (tstamp cc4284c7-1f41-42a1-a5af-195f201c1d5a)) + (fp_line (start -3.13 -3.13) (end -3.13 3.13) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5c5480a-3b49-45de-a41f-f7b997a37c6d)) + (fp_line (start -3.13 3.13) (end 3.13 3.13) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3189c684-5b23-4fde-8079-e40eca5a80d3)) + (fp_line (start 3.13 -3.13) (end -3.13 -3.13) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22aabfea-53ea-40aa-a19e-2f843d055d38)) + (fp_line (start 3.13 3.13) (end 3.13 -3.13) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3122c3fd-6466-4705-a0e1-842b050df92b)) + (fp_line (start -2.5 -1.5) (end -1.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b57138f-826e-4400-b236-67ebc8eb9b19)) + (fp_line (start -2.5 2.5) (end -2.5 -1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9f54dd7-8041-4fe8-8f5f-a9041f76dba8)) + (fp_line (start -1.5 -2.5) (end 2.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8ac0f94-38cd-48ba-a54c-87db488e26ed)) + (fp_line (start 2.5 -2.5) (end 2.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa15d4cb-b5f4-46d4-a31d-48040ba711ba)) + (fp_line (start 2.5 2.5) (end -2.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed5a9467-de15-4bee-968d-e67516172ce4)) + (pad "" smd roundrect (at -1.03 -1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 27cde4d5-653d-48c1-be14-50eea7da408d)) + (pad "" smd roundrect (at -1.03 0 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 404d803c-c26e-4872-b5fc-528bf24d4cda)) + (pad "" smd roundrect (at -1.03 1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 6574dfc5-c348-48eb-a8fb-244e2ceda545)) + (pad "" smd roundrect (at 0 -1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 36bcc07f-da4f-411a-bc07-04c87f00b1b6)) + (pad "" smd roundrect (at 0 0 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 7ec20e90-fbbd-43fc-bc5f-576b9811907f)) + (pad "" smd roundrect (at 0 1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 13845112-202e-457b-b930-8e96025ab9f2)) + (pad "" smd roundrect (at 1.03 -1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 41333f45-1acc-4f91-9492-a66427e9bee9)) + (pad "" smd roundrect (at 1.03 0 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp 83a7554f-a78c-4a63-8d85-00406778224f)) + (pad "" smd roundrect (at 1.03 1.03 270) (size 0.83 0.83) (layers "F.Paste") (roundrect_rratio 0.25) + (zone_connect 2) (tstamp f10791de-73c6-46e0-91f5-656c2dea2a9d)) + (pad "1" smd roundrect (at -2.4375 -1.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pinfunction "VDD") (pintype "power_in") (tstamp a53853dd-b7d8-4dca-8195-632d419f7d2b)) + (pad "2" smd roundrect (at -2.4375 -1.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp b76aa975-f01a-47e8-974c-d305202b580a)) + (pad "3" smd roundrect (at -2.4375 -0.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 07bdff6b-4ba8-41e2-a2b9-05a9d5934595)) + (pad "4" smd roundrect (at -2.4375 -0.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 90835324-5fed-4c3a-a49d-b7e52bf7b047)) + (pad "5" smd roundrect (at -2.4375 0.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 36bb594b-a4e9-421b-b69e-98243a2b5009)) + (pad "6" smd roundrect (at -2.4375 0.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "unconnected-(IC1-GPIO-Pad6)") (pinfunction "GPIO") (pintype "bidirectional+no_connect") (tstamp 7d8f3598-25cf-465d-bdf6-227f8e160813)) + (pad "7" smd roundrect (at -2.4375 1.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "unconnected-(IC1-PP-Pad7)") (pinfunction "PP") (pintype "input+no_connect") (tstamp 276e6218-7a3d-4000-bae0-66e6c9722fba)) + (pad "8" smd roundrect (at -2.4375 1.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pinfunction "VDD") (pintype "power_in") (tstamp ad713e19-67c7-4e39-bf3f-3bf577f4037e)) + (pad "9" smd roundrect (at -1.75 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp f7016d44-18b0-41c5-af18-d2a2b26f0314)) + (pad "10" smd roundrect (at -1.25 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7c14961a-d59a-4bfa-8332-11148224b306)) + (pad "11" smd roundrect (at -0.75 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6c8e8fd8-799f-4d6e-aeb7-7f6cc361262a)) + (pad "12" smd roundrect (at -0.25 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e621b936-d1fb-44db-bcf7-a2874a24514e)) + (pad "13" smd roundrect (at 0.25 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0cc1554c-2f0b-44ab-9da0-af2bbb984cf7)) + (pad "14" smd roundrect (at 0.75 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pinfunction "VDD") (pintype "power_in") (tstamp 140d8f35-39ad-43d1-bbd7-ba7cb8d1bd7d)) + (pad "15" smd roundrect (at 1.25 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 149280a5-0306-4960-9fec-620f2255be39)) + (pad "16" smd roundrect (at 1.75 2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp b5a98f95-af36-4cc8-90e9-9734456e696f)) + (pad "17" smd roundrect (at 2.4375 1.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "RESET") (pinfunction "RESET#") (pintype "input") (tstamp 0e6f7d03-418a-4625-828a-a6c4054704a5)) + (pad "18" smd roundrect (at 2.4375 1.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "IRQ") (pinfunction "PIRQ#") (pintype "output") (tstamp ad225419-9b9a-4ed1-aba0-a5ad42bb5147)) + (pad "19" smd roundrect (at 2.4375 0.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "SPI_CLK") (pinfunction "SCLK") (pintype "input") (tstamp 2815c574-58ce-4c5a-8ae6-e39a13fc0c7b)) + (pad "20" smd roundrect (at 2.4375 0.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "SPI_CS") (pinfunction "TPM_CS#") (pintype "input") (tstamp c2df2271-6ae4-42eb-a52c-13ed99964ef5)) + (pad "21" smd roundrect (at 2.4375 -0.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "SPI_MOSI") (pinfunction "MOSI") (pintype "input") (tstamp 5d65968b-6146-40f4-be14-93bafc8dbadc)) + (pad "22" smd roundrect (at 2.4375 -0.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pinfunction "VDD") (pintype "power_in") (tstamp a41352aa-9d6c-4086-b85f-2e6d40fb7ffe)) + (pad "23" smd roundrect (at 2.4375 -1.25 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp 47c3b389-eb3b-4002-8b58-f60c0bf5f5ca)) + (pad "24" smd roundrect (at 2.4375 -1.75 270) (size 0.875 0.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "SPI_MISO") (pinfunction "MISO") (pintype "output") (tstamp 5e009eb6-8762-4ee3-b9d2-54d5c991cfaa)) + (pad "25" smd roundrect (at 1.75 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e1b27ea4-deed-4a95-8fd5-4aa705eee923)) + (pad "26" smd roundrect (at 1.25 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9815e798-e94a-4c6b-b2b2-4947553ac2fc)) + (pad "27" smd roundrect (at 0.75 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6ea7b7d6-e1f7-4a69-9266-2084348add3a)) + (pad "28" smd roundrect (at 0.25 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 275d2652-9109-43a6-94b4-5441eb95638a)) + (pad "29" smd roundrect (at -0.25 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e6a8ee3b-abe6-4413-8ede-ba854d88be35)) + (pad "30" smd roundrect (at -0.75 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 72e30e39-0985-4568-98d3-bf106378704e)) + (pad "31" smd roundrect (at -1.25 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1006d333-5c0b-497a-974b-fd14bfdc4fe5)) + (pad "32" smd roundrect (at -1.75 -2.4375 270) (size 0.25 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp 711aab4b-918b-47b8-8ad7-c24e42ad0e4e)) + (pad "33" smd rect (at 0 0 270) (size 3.1 3.1) (property pad_prop_heatsink) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (tstamp af1e8727-c081-4420-82fa-1a97cfa5a763)) + (model "${KICAD7_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tstamp d4e75aa1-e94b-4df1-903f-889176863ae8) + (at 155.0924 95.25 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "tpm-module-14pin-spi-RevA2.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/65fbf09a-dd01-444a-b7c8-40da949ce9b7") + (attr smd) + (fp_text reference "R1" (at 0 -1.17 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5b8523eb-dc93-4dfe-be65-711cf2d55f2a) + ) + (fp_text value "10k" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 014ffb7f-f614-49fa-a8e7-5da8593fc912) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 63c7e4e6-e74f-42ba-bcde-c3beecfac8d0) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4042c42-72fe-4525-bd5d-fe1fa8ee89c9)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp afacffc8-9a7f-462b-99c9-1f7d76b08afe)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18941a1a-0f87-4366-8ae0-3ff55d14450e)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 744661b3-d0c3-4181-817c-f15ed7d3f018)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02d49f55-62e7-4878-91c0-af7fa829f1b0)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ca6d6ab-2dd7-4b51-8483-16c63de4553e)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d7d43f18-cf30-42db-b7d7-f6b1db85df27)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcf7093a-ccb3-460d-a377-1f20d3971c4f)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6dc6901-56c6-4dee-92ba-ed339f181028)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5723d4d7-3d7f-4459-a615-3c7766045257)) + (pad "1" smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "VDD") (pintype "passive") (tstamp 5f5316ee-4ffe-4854-a51c-ee40f2cddf77)) + (pad "2" smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "SPI_CS") (pintype "passive") (tstamp a95727f7-37a1-4ce6-988a-e44b32f4c9a6)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_rect (start 141.638 87.8332) (end 150.495 93.7438) + (stroke (width 0.2) (type solid)) (fill solid) (layer "B.Mask") (tstamp 121bd39d-2136-4f49-9428-4ef18826e3b9)) + (gr_rect (start 150.495 87.8332) (end 153.1798 92.0928) + (stroke (width 0.2) (type solid)) (fill solid) (layer "B.Mask") (tstamp 42ee7651-a21b-4fb5-abf8-ef722efeca7a)) + (gr_rect (start 141.638 97.536) (end 153.2636 98.646) + (stroke (width 0.2) (type solid)) (fill solid) (layer "B.Mask") (tstamp 854d9fba-e20a-4171-be85-a275a50cb38b)) + (gr_rect (start 152.5854 94.742) (end 153.2636 97.503) + (stroke (width 0.2) (type solid)) (fill solid) (layer "B.Mask") (tstamp b78c6a27-f53b-4e6c-b4ce-6c733bf4d81f)) + (gr_rect (start 141.638 96.0882) (end 143.891 97.503) + (stroke (width 0.2) (type solid)) (fill solid) (layer "B.Mask") (tstamp e555e336-8e40-407b-ad0b-631d8e22573c)) + (gr_rect (start 136.0315 83.947) (end 158.877 107.986) + (stroke (width 0.1) (type default)) (fill none) (layer "Edge.Cuts") (tstamp 03f55458-31de-47f0-adf3-0debc0a12b39)) + (gr_text "LA-CTM010-R Rev.A2\n\n" (at 155.702 88.9) (layer "B.SilkS") (tstamp 8f9abfdb-79cc-4628-ac90-a4ddd8c3aa58) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom mirror)) + ) + (gr_text "LoopAware CTM010 \nReplacement Module" (at 147.193 105.41) (layer "B.SilkS") (tstamp de7ed673-bda4-4245-b857-e70c9c733eec) + (effects (font (size 1 1) (thickness 0.15)) (justify bottom mirror)) + ) + (gr_text "LA-CTM010-R" (at 146.156 86.8452) (layer "F.SilkS") (tstamp edd14152-2dbf-43fc-ae5f-238f8ac1f4e3) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "LoopAware" (at 137.4648 86.8172) (layer "F.SilkS") (tstamp f06f8343-a7a0-4479-97db-9af7a1b1fd13) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "UNTESTED - COMES WITH NO WARRANTIES OR PROMISES\nTHIS MIGHT KILL YOUR COMPUTER AND SET YOUR HOUSE\nON FIRE, YOU HAVE BEEN WARNED." (at 147.0765 75.96) (layer "Dwgs.User") (tstamp a6bc2dbc-777b-4f4f-9a5f-6b04f6bdbd30) + (effects (font (size 1 1) (thickness 0.15))) + ) + + (via (at 157.734 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 09de10eb-916b-468e-94c0-ccc86c198f5e)) + (via (at 147.574 91.2876) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0ffef92d-0407-4f46-a2df-ecec26afd62d)) + (via (at 157.734 95.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 10b50777-5c27-4edc-b101-e9a4df55f5c1)) + (via (at 157.734 96.393) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 10e011ad-c390-4dfd-a982-05eb0395d9bf)) + (via (at 149.733 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 1b0b17df-6dab-4008-a9fe-9333e5945266)) + (via (at 148.59 92.3036) (size 1) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1d4771a4-8821-4944-b979-33268f1dcdfd)) + (via (at 144.018 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 1ddb4550-8046-44e1-89d1-726bd4f94400)) + (via (at 152.6032 91.3892) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 2099cf62-9d6a-492c-873c-6e54824d1c8a)) + (via (at 142.1384 88.5444) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 22036eb2-2a20-42bc-83bc-e34315eed58f)) + (via (at 138.303 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 24dbf298-4aaf-4c85-9c19-f009d1b47597)) + (via (at 153.162 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 24fce741-520b-45b1-84bf-316729053768)) + (via (at 146.558 92.3036) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2620b94a-bcd2-45fc-8fc7-e6b9ce8fdeb4)) + (via (at 137.16 91.821) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 2697c5c7-09a3-4ded-a329-b117d959cfcd)) + (via (at 140.589 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 2c4d894b-ecc9-4cc8-b3ab-fb59b773056f)) + (via (at 157.734 105.537) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 2f6d3d59-6b3c-4273-9131-c60ff341b690)) + (via (at 146.558 90.2716) (size 1) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2f9daf2e-333d-4116-9476-1ddff01e7b48)) + (via (at 137.16 92.964) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 33e21c97-a91b-4aa7-828d-99fd8645ac59)) + (via (at 137.16 99.822) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 35b67169-cddb-4ec7-a366-ec67074add52)) + (via (at 137.16 96.393) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 38bc7fef-431c-477a-9d90-faab293d0448)) + (via (at 139.446 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 3db1dae6-1a22-41a7-9238-7f2f2d98b31d)) + (via (at 145.161 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 3fd4b5a7-6812-4576-8d0d-951f9d904a3b)) + (via (at 157.734 92.964) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 40e01510-490e-4629-91d3-a1110c9a6212)) + (via (at 157.734 103.251) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4100b76d-5405-4ad1-b177-92c89aa67f37)) + (via (at 157.734 88.392) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 41140946-33dc-4efb-8126-b121771f954c)) + (via (at 142.875 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 421f3aaf-a0b2-4f88-9d26-daed16159dab)) + (via (at 141.732 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 433f90f4-c6ce-431c-82d0-177d5bddbc7f)) + (via (at 148.59 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 436b5fce-da1b-4ce2-8d0e-2032d6a966e0)) + (via (at 143.6624 92.9132) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 479df61c-87f3-4d2b-b3fe-6668a2c561c0)) + (via (at 157.734 91.821) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4b96baf5-5e8f-44f0-a410-788e33762322)) + (via (at 137.16 86.106) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4e20cf14-c6de-4446-b689-4ec17f646cbc)) + (via (at 145.161 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 5126d4ca-324c-4014-a351-b67f88699ae0)) + (via (at 157.734 89.535) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 5164a61d-8dd8-4d27-932f-23d0af70272b)) + (via (at 154.305 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 51aa3dc3-eef8-4410-9230-d4fdcc62d2b9)) + (via (at 157.734 100.965) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 546a3685-ac10-42d8-922a-85f60944f9ea)) + (via (at 156.591 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 563c1316-23ca-4899-8d6a-7e6874c35234)) + (via (at 142.0876 90.424) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 57f57e30-5441-4ce7-824f-801e0592b85e)) + (via (at 137.16 105.537) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 5ec8cf1d-3ed9-412d-a279-9160252fdc57)) + (via (at 146.304 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 608ba7dc-e7f5-4cd9-a9bc-cb9084f59d53)) + (via (at 152.019 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 6358702f-ff1c-49ba-90c5-044a8b46600b)) + (via (at 137.16 97.536) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 636ab354-86ba-4033-93ac-ff3a31ddd433)) + (via (at 157.734 99.822) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 6787631a-6cb2-4f20-b859-3c78fb672407)) + (via (at 154.305 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 6dead5f2-5d5f-4578-881d-8ea17d91c296)) + (via (at 143.7132 90.424) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 76630413-5b8d-4ba0-94d7-b54f6b19201d)) + (via (at 140.589 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 7678049f-ebc7-4a03-a9f1-dcbf8a444262)) + (via (at 151.2824 88.646) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 79f89881-2dbc-4cfa-a8ae-6e8be097867f)) + (via (at 137.16 98.679) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 807174f7-5b4a-4a28-9bfb-1fa309b33a49)) + (via (at 137.16 87.249) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 821e0569-a3cb-43b6-a970-4112f335a855)) + (via (at 157.734 104.394) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 82b11adb-bf60-4458-86a9-39bb591460a4)) + (via (at 157.734 98.679) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 841d8a5b-2e4a-4338-8727-d3b066493a3c)) + (via (at 145.1864 94.5388) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 85482d54-83bb-4082-a6f6-df53d52dacec)) + (via (at 152.019 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 892eb29f-590f-4bc6-b416-3c24c272f4ff)) + (via (at 152.8572 95.1992) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 8c3ae359-764d-4b6c-94c1-1bd533fb6217)) + (via (at 137.16 89.535) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 8e608d58-7b86-4299-9430-14795bca7ea6)) + (via (at 137.16 102.108) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 91b7482e-a7fd-4236-adb2-5b7577634196)) + (via (at 155.448 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 921f3552-10de-44bd-91c7-d81fd302aa82)) + (via (at 157.734 90.678) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9350a4ec-8e1a-4108-9dc0-c79b8b764706)) + (via (at 147.447 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9a38378f-213f-4335-8bbf-506692ad977c)) + (via (at 148.59 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp a13e5d23-a305-4e03-9f42-dea2fa9e99c6)) + (via (at 137.16 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp a3449322-45b0-4473-8112-53d9395afc2c)) + (via (at 146.304 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp a3b2019b-b5fb-4923-8ff0-4bee71f406bf)) + (via (at 142.875 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp a7d743a7-1f2a-416e-872a-b94d2fda6e30)) + (via (at 153.162 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp a8701b18-e9a1-4716-96b1-8b813a4fc765)) + (via (at 137.16 88.392) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ab9081c5-5d8d-4286-b593-3267563ecc5c)) + (via (at 157.734 102.108) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ac7ff8c2-db97-4afa-9e88-9d0a1038d51d)) + (via (at 148.59 90.2716) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp b6b46ec9-b709-46ae-b21f-a249a40c0181)) + (via (at 147.447 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp b6e6a536-a38d-4172-a56b-2f3adc5f6937)) + (via (at 137.16 103.251) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ba1078f0-745d-4b31-baad-6dd2f5411789)) + (via (at 157.734 94.107) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ba85a622-af12-458f-acc0-6c17c8f22e46)) + (via (at 150.876 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp c047dd05-d0ca-4ea7-8051-f520a2f156bd)) + (via (at 144.018 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp c302b13d-adca-4fa4-9542-55f35e8b3e96)) + (via (at 141.732 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp c54b1d92-dffe-449d-b9a3-eb0e272d0a14)) + (via (at 157.734 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp c5643d31-6305-45b5-ab0d-2fd49939330f)) + (via (at 152.6032 88.646) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp cc0ad23f-d2e8-47c7-93b0-0c993734dfaa)) + (via (at 139.446 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp cef28706-8fef-46d8-b528-501a293bc95c)) + (via (at 157.734 87.249) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp d186da34-3d84-4604-a8ba-d220ba9bc735)) + (via (at 155.448 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp d4a1cf22-4d39-475a-84a8-52ab367bdc4e)) + (via (at 138.303 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp d60ce66f-e47e-4390-900e-3fc0bfe64489)) + (via (at 151.2824 91.3892) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp dbc9d70b-a0bf-4fa3-8b28-af5f4e3d20ea)) + (via (at 150.876 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp dc7369c4-5e24-46e2-b7db-d18f5c75e10e)) + (via (at 156.591 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp dd5c1d6e-692a-4b37-ad6d-b9c488d8bf13)) + (via (at 143.7132 88.5444) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp e896de63-c80a-4345-a348-b4c0fe9a44e9)) + (via (at 149.733 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ea0cd1a3-e275-4e07-8287-c565720f712c)) + (via (at 137.16 95.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ece93924-7f53-4c7c-998b-b85e26b1da10)) + (via (at 137.16 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ed388c70-3110-4e8d-a6ec-77710856b1ab)) + (via (at 157.734 97.536) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ed65f487-2210-45ca-ac3b-5bf8a71cbf49)) + (via (at 143.6116 96.4692) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp f0cc3d66-5467-4ec6-90e9-6fadb40d7fe8)) + (via (at 137.16 104.394) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp f0d73bc4-def7-47df-a0f3-4aae30ab3b35)) + (via (at 137.16 90.678) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp f1ac3dd0-5aa3-4d3a-a49f-6a4c7f7251c9)) + (via (at 137.16 94.107) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp f6417ba5-2f09-45ac-8b0a-99fada60124a)) + (via (at 137.16 100.965) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp fc79c446-11e4-4552-9a2c-6ca79d7b03df)) + (via (at 157.734 86.106) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp fcde5520-e403-4c09-9fa9-7a0a814f730b)) + (segment (start 145.2392 95.504) (end 145.647926 95.504) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2e59c076-8de9-4aec-8233-33d06385b37f)) + (segment (start 146.31 94.1175) (end 146.31 94.60474) (width 0.25) (layer "F.Cu") (net 2) (tstamp 34d4efda-f958-4c98-9511-f33cedc9e3c0)) + (segment (start 144.9175 101.741) (end 144.9175 104.007) (width 0.25) (layer "F.Cu") (net 2) (tstamp 396277a6-4131-4563-b267-6281dbfbd267)) + (segment (start 146.1875 101.233) (end 145.9335 101.487) (width 0.25) (layer "F.Cu") (net 2) (tstamp 50167792-17a5-49f6-8d90-15270988cc6a)) + (segment (start 145.647926 95.867426) (end 146.1875 96.407) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5db79e50-52ff-4ee8-83e7-2aa64bce6d2e)) + (segment (start 145.647926 95.266815) (end 145.647926 95.504) (width 0.25) (layer "F.Cu") (net 2) (tstamp 96bb05fe-917b-4337-a0c2-1453733910aa)) + (segment (start 146.1875 96.407) (end 146.1875 101.233) (width 0.25) (layer "F.Cu") (net 2) (tstamp 9fe3f19b-f37a-4020-874f-fb262deef60d)) + (segment (start 145.9335 101.487) (end 145.1715 101.487) (width 0.25) (layer "F.Cu") (net 2) (tstamp a696add7-3d57-40b9-800d-b65684551458)) + (segment (start 145.647926 95.504) (end 145.647926 95.867426) (width 0.25) (layer "F.Cu") (net 2) (tstamp d716cefd-c592-46ac-a648-1696755a12e9)) + (segment (start 146.31 94.60474) (end 145.647926 95.266815) (width 0.25) (layer "F.Cu") (net 2) (tstamp dd6710eb-1cd9-4469-8124-11bf75f5735d)) + (segment (start 145.1715 101.487) (end 144.9175 101.741) (width 0.25) (layer "F.Cu") (net 2) (tstamp fb327f16-5743-4d1e-9443-5ab26dca91f3)) + (segment (start 139.8375 96.026) (end 139.8375 98.967) (width 0.25) (layer "F.Cu") (net 3) (tstamp 4dfd81c6-c3f7-485c-af5f-b61df8892f27)) + (segment (start 146.81 95.130046) (end 146.81 94.1175) (width 0.25) (layer "F.Cu") (net 3) (tstamp 64da7667-3087-4f67-8095-c4f9eaf1ef1f)) + (segment (start 146.372926 95.56712) (end 146.81 95.130046) (width 0.25) (layer "F.Cu") (net 3) (tstamp e9628d51-10bd-4b05-9da9-196726a039b8)) + (via (at 146.372926 95.56712) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 3e32fdcd-f6f0-4a66-bb57-eb7ecd62ccd9)) + (via (at 139.8375 96.026) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp b3a9e520-5b93-4fd8-ad10-d1e73233305b)) + (segment (start 146.372926 95.56712) (end 146.323806 95.518) (width 0.25) (layer "B.Cu") (net 3) (tstamp a28037ad-7b98-4180-8d89-887741645a1f)) + (segment (start 140.3455 95.518) (end 139.8375 96.026) (width 0.25) (layer "B.Cu") (net 3) (tstamp a7852601-ec93-4cf1-a24d-255f2f7ac69f)) + (segment (start 146.323806 95.518) (end 140.3455 95.518) (width 0.25) (layer "B.Cu") (net 3) (tstamp d502eabc-0b08-44fd-9521-8211c8e19ac1)) + (segment (start 148.2344 96.6216) (end 149.0218 97.409) (width 0.25) (layer "F.Cu") (net 4) (tstamp 023b81cc-501d-4eb5-b05a-09182df3d1ed)) + (segment (start 147.81 95.106187) (end 148.2344 95.530587) (width 0.25) (layer "F.Cu") (net 4) (tstamp 0eca0967-a3c9-48b6-abf3-3c9e898de8d0)) + (segment (start 149.0218 100.3808) (end 148.8694 100.5332) (width 0.25) (layer "F.Cu") (net 4) (tstamp 1e8cfcea-2d5b-4c3a-9569-bf54bb5781ac)) + (segment (start 148.8694 100.5332) (end 148.59 100.5332) (width 0.25) (layer "F.Cu") (net 4) (tstamp 1ea1e143-a3ef-4d00-adc8-4693ae6d7a89)) + (segment (start 149.0218 97.409) (end 149.0218 100.3808) (width 0.25) (layer "F.Cu") (net 4) (tstamp 2ebdd47d-7134-4d3a-b6ef-a736529a9c9c)) + (segment (start 147.9804 97.0026) (end 147.6248 97.0026) (width 0.25) (layer "F.Cu") (net 4) (tstamp 3469293c-1354-4290-91eb-df69cf589ae3)) + (segment (start 147.6248 97.0026) (end 147.447 97.1804) (width 0.25) (layer "F.Cu") (net 4) (tstamp 48db672c-9c30-4ccc-8016-4b64d532ff3f)) + (segment (start 147.447 97.1804) (end 147.4575 97.1909) (width 0.25) (layer "F.Cu") (net 4) (tstamp 7a562622-303f-4387-bd92-19c1754a0a16)) + (segment (start 148.3868 100.33) (end 148.3868 97.409) (width 0.25) (layer "F.Cu") (net 4) (tstamp 911a07f0-8598-48a2-8262-f3d96d0e27df)) + (segment (start 148.59 100.5332) (end 148.3868 100.33) (width 0.25) (layer "F.Cu") (net 4) (tstamp 9a4d0832-63b5-4dca-8ce0-a0ba1c56bfe3)) + (segment (start 148.2344 95.530587) (end 148.2344 96.6216) (width 0.25) (layer "F.Cu") (net 4) (tstamp b6686371-1858-4d0b-860b-a38e3f4d9097)) + (segment (start 147.81 94.1175) (end 147.81 95.106187) (width 0.25) (layer "F.Cu") (net 4) (tstamp cee64bff-d682-450c-8694-0b94a61fcf0f)) + (segment (start 148.3868 97.409) (end 147.9804 97.0026) (width 0.25) (layer "F.Cu") (net 4) (tstamp dcee3dfb-b0df-4290-a07f-e38659f2d220)) + (segment (start 147.4575 97.1909) (end 147.4575 98.967) (width 0.25) (layer "F.Cu") (net 4) (tstamp e54d5e50-1c3d-40c5-a597-80a2c070b53d)) + (segment (start 144.9175 96.534) (end 144.9175 98.967) (width 0.25) (layer "F.Cu") (net 5) (tstamp 0aac1924-6d2c-4716-b9f6-95f66cd02333)) + (segment (start 149.31 93.7505) (end 150.8007 93.7505) (width 0.25) (layer "F.Cu") (net 5) (tstamp 1294bea3-ba1f-4f81-ac68-a993d641b522)) + (segment (start 150.8007 93.7505) (end 151.638 92.9132) (width 0.25) (layer "F.Cu") (net 5) (tstamp 6603b8b8-9880-428f-9b2b-a63b97008354)) + (segment (start 151.638 92.9132) (end 152.0952 92.9132) (width 0.25) (layer "F.Cu") (net 5) (tstamp d2a543ef-7523-4b76-92b6-2d246b7d39dd)) + (via (at 152.0952 92.9132) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 36193547-ceee-4e72-b6bd-984be421024d)) + (via (at 144.9175 96.534) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp c6e20a54-f06c-4e8f-ad7a-28a1b7ff31ac)) + (segment (start 152.0952 96.1136) (end 151.6748 96.534) (width 0.25) (layer "B.Cu") (net 5) (tstamp 0e964c7b-2386-46a6-9288-e6e64330c774)) + (segment (start 152.0952 92.9132) (end 152.0952 96.1136) (width 0.25) (layer "B.Cu") (net 5) (tstamp adc44460-edb0-4e24-a7be-c59b5210d502)) + (segment (start 151.6748 96.534) (end 144.9175 96.534) (width 0.25) (layer "B.Cu") (net 5) (tstamp f75918fa-4d44-4d44-a4e6-681f48705bf1)) + (segment (start 155.0924 94.1324) (end 155.0924 92.3518) (width 0.4) (layer "F.Cu") (net 13) (tstamp 19d8ec36-9dee-4f68-a8bf-4d2f13102da1)) + (segment (start 140.7668 91.7448) (end 140.7668 88.5444) (width 0.4) (layer "F.Cu") (net 13) (tstamp 1acc58f6-5e78-47a4-ae1c-b2f7fda59d58)) + (segment (start 139.8515 101.473) (end 139.8375 101.487) (width 0.25) (layer "F.Cu") (net 13) (tstamp 1da3d8d2-53d9-4a96-93e2-cd937fcef469)) + (segment (start 142.3924 91.7448) (end 143.51 91.7448) (width 0.4) (layer "F.Cu") (net 13) (tstamp 1fbee52a-cd7f-472e-a64e-8b35b9ee2ab9)) + (segment (start 154.3792 94.74) (end 155.0924 94.74) (width 0.3) (layer "F.Cu") (net 13) (tstamp 24aaccab-ddae-4635-aa00-31bd1372238e)) + (segment (start 150.876 94.6912) (end 151.4856 94.6912) (width 0.3) (layer "F.Cu") (net 13) (tstamp 2a98603d-56ef-494f-b839-1fe5c06698cf)) + (segment (start 139.8235 101.473) (end 139.8375 101.487) (width 0.25) (layer "F.Cu") (net 13) (tstamp 2c328e89-79d8-4628-a825-103b62d10936)) + (segment (start 153.7488 91.0336) (end 153.7488 88.2676) (width 0.4) (layer "F.Cu") (net 13) (tstamp 39c98f59-b96a-4a10-b7ee-f4d4f2c95d68)) + (segment (start 149.9108 95.25) (end 150.3172 95.25) (width 0.3) (layer "F.Cu") (net 13) (tstamp 3e13326d-9b07-4b7e-bffd-86256b15f18d)) + (segment (start 145.81 88.8755) (end 145.81 87.39) (width 0.3) (layer "F.Cu") (net 13) (tstamp 4083fb30-947c-4478-8b51-b3b925e2c88d)) + (segment (start 140.7668 91.7448) (end 142.3924 91.7448) (width 0.4) (layer "F.Cu") (net 13) (tstamp 47975418-336a-4d56-a75f-3cc57b6f092b)) + (segment (start 145.796 87.3252) (end 149.3012 87.3252) (width 0.4) (layer "F.Cu") (net 13) (tstamp 4ef7dd4d-7799-49cc-85b7-d35d2ffe6ec4)) + (segment (start 154.0256 94.3864) (end 154.3792 94.74) (width 0.3) (layer "F.Cu") (net 13) (tstamp 54dd122c-6118-44c8-b955-713dbfcbe435)) + (segment (start 141.986 87.3252) (end 145.796 87.3252) (width 0.4) (layer "F.Cu") (net 13) (tstamp 56cc296e-3712-4128-b032-2a516017e038)) + (segment (start 142.3924 92.708) (end 142.3924 91.7448) (width 0.4) (layer "F.Cu") (net 13) (tstamp 5cd8e5a2-28bb-4950-8c04-164e5333cf33)) + (segment (start 151.7904 94.3864) (end 154.0256 94.3864) (width 0.3) (layer "F.Cu") (net 13) (tstamp 6aa54658-4632-4dae-8d14-3bbd975e8cfd)) + (segment (start 140.7668 88.5444) (end 141.986 87.3252) (width 0.4) (layer "F.Cu") (net 13) (tstamp 6c420ed1-c024-43b9-a5eb-d9d8cdf19b04)) + (segment (start 148.31 94.23774) (end 149.32226 95.25) (width 0.25) (layer "F.Cu") (net 13) (tstamp 72a54659-34e7-4d4b-a2da-dd6299d493e1)) + (segment (start 143.8282 92.063) (end 145.1225 92.063) (width 0.25) (layer "F.Cu") (net 13) (tstamp 73109d04-b07c-4ae8-bf58-5b53a8b4eaaf)) + (segment (start 143.8656 94.5896) (end 143.8656 95.1504) (width 0.25) (layer "F.Cu") (net 13) (tstamp 7b2d34cb-113b-445e-9d90-734974502711)) + (segment (start 151.4856 94.6912) (end 151.7904 94.3864) (width 0.3) (layer "F.Cu") (net 13) (tstamp 7ebc9264-e61e-48b0-9928-8b5317e11d6b)) + (segment (start 155.0924 94.74) (end 155.0924 94.1324) (width 0.4) (layer "F.Cu") (net 13) (tstamp 86c437bd-1e79-49fd-8619-a029fea31940)) + (segment (start 149.3012 87.3252) (end 152.8064 87.3252) (width 0.4) (layer "F.Cu") (net 13) (tstamp 8e1d6dfb-779c-4cd0-b644-2e9bd7b95a59)) + (segment (start 153.7742 92.0242) (end 153.7742 91.059) (width 0.4) (layer "F.Cu") (net 13) (tstamp a51d5fcc-caae-4712-8895-1e3e36107297)) + (segment (start 149.32226 95.25) (end 149.9108 95.25) (width 0.25) (layer "F.Cu") (net 13) (tstamp b2bbb83b-cfd3-4376-90bb-56ad9cf3e1f8)) + (segment (start 143.51 91.7448) (end 143.8282 92.063) (width 0.25) (layer "F.Cu") (net 13) (tstamp bd7ef63e-394a-4f91-95cc-f6c2d3a35d90)) + (segment (start 149.31 88.8755) (end 149.31 87.334) (width 0.25) (layer "F.Cu") (net 13) (tstamp be38f4e8-ef61-429f-a714-0e727a9b53e1)) + (segment (start 152.8064 87.3252) (end 153.7488 88.2676) (width 0.4) (layer "F.Cu") (net 13) (tstamp c07e72f9-54ca-49e2-bacf-9073b6bac702)) + (segment (start 148.31 93.7505) (end 148.31 94.23774) (width 0.25) (layer "F.Cu") (net 13) (tstamp c07f5f52-cd13-4d62-9b56-93089fb67ed5)) + (segment (start 154.7648 92.0242) (end 153.7742 92.0242) (width 0.4) (layer "F.Cu") (net 13) (tstamp c10f70c7-0334-4b37-8b18-0c0583785612)) + (segment (start 143.8656 95.1504) (end 144.2192 95.504) (width 0.25) (layer "F.Cu") (net 13) (tstamp cbae47af-4887-48e4-95c7-332939c2c0c9)) + (segment (start 139.8375 101.487) (end 139.8375 104.007) (width 0.5) (layer "F.Cu") (net 13) (tstamp d011841e-4552-4611-85f1-d54da4073193)) + (segment (start 153.7742 92.9386) (end 153.7742 92.0242) (width 0.4) (layer "F.Cu") (net 13) (tstamp e43f2464-2c37-4a8e-acf3-502234ab6cb3)) + (segment (start 155.0924 92.3518) (end 154.7648 92.0242) (width 0.4) (layer "F.Cu") (net 13) (tstamp e97a64df-bd60-4788-831e-5a08d1bdc459)) + (segment (start 150.3172 95.25) (end 150.876 94.6912) (width 0.3) (layer "F.Cu") (net 13) (tstamp f0db30d6-c20f-400f-806a-efdcba9e49c9)) + (segment (start 145.81 89.2425) (end 145.81 88.533) (width 0.25) (layer "F.Cu") (net 13) (tstamp f2fdd02d-60f4-4943-ad08-ab1c1cc9d3a4)) + (via (at 139.8375 101.487) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp 989fd409-a9fc-46da-b3f8-d7648d9b5859)) + (via (at 153.7742 92.9386) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp a9a3da5f-0736-41ac-839b-969673b3ce17)) + (via (at 140.7668 91.7448) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp d13f8010-107c-418a-9ce3-b15d64ec7230)) + (via (at 143.8656 94.5896) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp fabefb4a-b29e-48f8-9e61-b592b2f0bf26)) + (segment (start 140.7668 93.6244) (end 140.7668 91.7448) (width 0.4) (layer "B.Cu") (net 13) (tstamp 1af14add-a7f4-4caa-8aeb-c4c28fa4131c)) + (segment (start 139.8375 101.487) (end 153.4265 101.487) (width 0.4) (layer "B.Cu") (net 13) (tstamp 4470c0ec-dd0c-4562-bd92-7c551dc688c1)) + (segment (start 153.4265 101.487) (end 153.8075 101.106) (width 0.4) (layer "B.Cu") (net 13) (tstamp a0ec0a6f-7f4f-481a-a107-6c0573cf5200)) + (segment (start 143.8656 94.5896) (end 141.732 94.5896) (width 0.4) (layer "B.Cu") (net 13) (tstamp b3048867-9b3b-45a0-81ef-1681e014bf48)) + (segment (start 153.8075 101.106) (end 153.8075 92.9719) (width 0.4) (layer "B.Cu") (net 13) (tstamp d45e2231-657d-401f-92cc-39c15d30e931)) + (segment (start 141.732 94.5896) (end 140.7668 93.6244) (width 0.4) (layer "B.Cu") (net 13) (tstamp e71988dc-694c-450b-879a-42df1c04558e)) + (segment (start 142.3924 98.9521) (end 142.3775 98.967) (width 0.25) (layer "F.Cu") (net 14) (tstamp 1d7d7402-6691-46c5-b2b4-88e6d42f2084)) + (segment (start 145.81 93.7505) (end 142.4149 93.7505) (width 0.25) (layer "F.Cu") (net 14) (tstamp 672ba4e2-907b-4f91-aa0c-940560aa9cde)) + (segment (start 142.3924 93.728) (end 142.3924 98.9521) (width 0.25) (layer "F.Cu") (net 14) (tstamp fc0ca16b-f5c5-4be7-b994-46679c3fb880)) + (segment (start 154.0764 96.0628) (end 154.3792 95.76) (width 0.25) (layer "F.Cu") (net 15) (tstamp 0fde9e5a-781d-4cba-9702-d4d400a2d42d)) + (segment (start 147.31 94.1175) (end 147.31 95.631492) (width 0.25) (layer "F.Cu") (net 15) (tstamp 2b07a606-1850-4c2c-88d2-e344f4d628c8)) + (segment (start 155.0775 98.967) (end 155.0775 95.7749) (width 0.25) (layer "F.Cu") (net 15) (tstamp 4058b968-cfe7-4171-be84-cbb267cab653)) + (segment (start 155.0775 95.7749) (end 155.0924 95.76) (width 0.25) (layer "F.Cu") (net 15) (tstamp 42866959-c87e-4a43-847b-ffaf1fa99e67)) + (segment (start 147.31 95.631492) (end 147.487508 95.809) (width 0.25) (layer "F.Cu") (net 15) (tstamp 6f7bae07-2595-459f-8495-ad3145404ee2)) + (segment (start 151.328547 95.809) (end 151.377547 95.76) (width 0.25) (layer "F.Cu") (net 15) (tstamp 8d00b39b-6ec1-4f21-a98f-900d7d60d072)) + (segment (start 154.3792 95.76) (end 155.0924 95.76) (width 0.25) (layer "F.Cu") (net 15) (tstamp bd2018f3-86ea-4333-a0b9-14b22289f22f)) + (segment (start 151.582347 96.0628) (end 154.0764 96.0628) (width 0.25) (layer "F.Cu") (net 15) (tstamp eb3fd95a-31f6-4b59-9d7d-c7c78a9be90f)) + (segment (start 151.328547 95.809) (end 151.582347 96.0628) (width 0.25) (layer "F.Cu") (net 15) (tstamp fc61e464-bb2b-476f-bfc1-d157cd7fe125)) + (via (at 147.487508 95.809) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 20138616-1a75-489e-95db-a8ecb1a521b2)) + (via (at 151.328547 95.809) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 22b8939d-7c9e-4dab-b0bd-bbc577d0c413)) + (segment (start 147.487508 95.809) (end 151.328547 95.809) (width 0.25) (layer "B.Cu") (net 15) (tstamp ab2fecfc-1b54-49cd-b3a6-3514821fe977)) + + (zone (net 1) (net_name "GND") (layers "F&B.Cu") (tstamp 9aa33cab-3101-42a6-83d2-bdb43d93501b) (name "Groundplane") (hatch edge 0.5) + (connect_pads (clearance 0.25)) + (min_thickness 0.25) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (smoothing chamfer)) + (polygon + (pts + (xy 136.017 83.82) + (xy 159.004 83.82) + (xy 159.004 108.077) + (xy 136.017 108.077) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 153.875384 94.806585) + (xy 153.896026 94.823219) + (xy 154.122613 95.049807) + (xy 154.122633 95.049825) + (xy 154.140856 95.068049) + (xy 154.160594 95.078106) + (xy 154.177182 95.088271) + (xy 154.19511 95.101296) + (xy 154.216179 95.108141) + (xy 154.234158 95.115588) + (xy 154.253896 95.125646) + (xy 154.275778 95.129111) + (xy 154.294691 95.133652) + (xy 154.315767 95.1405) + (xy 154.315772 95.1405) + (xy 154.325404 95.142026) + (xy 154.325121 95.143812) + (xy 154.380879 95.160185) + (xy 154.426634 95.212989) + (xy 154.436578 95.282147) + (xy 154.407553 95.345703) + (xy 154.348775 95.383477) + (xy 154.334246 95.386809) + (xy 154.327599 95.387918) + (xy 154.322538 95.388656) + (xy 154.312899 95.389857) + (xy 154.27057 95.395134) + (xy 154.263057 95.397371) + (xy 154.255586 95.399936) + (xy 154.209571 95.424837) + (xy 154.20502 95.42718) + (xy 154.157992 95.450171) + (xy 154.151575 95.454753) + (xy 154.145375 95.459579) + (xy 154.109931 95.498081) + (xy 154.106385 95.501777) + (xy 153.957179 95.650982) + (xy 153.895859 95.684466) + (xy 153.8695 95.6873) + (xy 152.063694 95.6873) + (xy 151.996655 95.667615) + (xy 151.9509 95.614811) + (xy 151.947752 95.607272) + (xy 151.940603 95.588423) + (xy 151.908767 95.504477) + (xy 151.81903 95.37447) + (xy 151.700787 95.269717) + (xy 151.700785 95.269716) + (xy 151.700783 95.269714) + (xy 151.68591 95.261908) + (xy 151.635699 95.213323) + (xy 151.619725 95.145304) + (xy 151.643061 95.079446) + (xy 151.67064 95.051805) + (xy 151.687633 95.039458) + (xy 151.704188 95.029314) + (xy 151.723942 95.01925) + (xy 151.739434 95.003757) + (xy 151.739441 95.003752) + (xy 151.812527 94.930666) + (xy 151.919973 94.823218) + (xy 151.981296 94.789734) + (xy 152.007654 94.7869) + (xy 153.808345 94.7869) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 145.390692 94.145685) + (xy 145.436447 94.198489) + (xy 145.437505 94.201336) + (xy 145.477855 94.287866) + (xy 145.48715 94.307799) + (xy 145.565201 94.38585) + (xy 145.66524 94.432499) + (xy 145.668632 94.432945) + (xy 145.672229 94.434536) + (xy 145.674351 94.435155) + (xy 145.674268 94.435438) + (xy 145.73253 94.461207) + (xy 145.771005 94.519528) + (xy 145.771842 94.589393) + (xy 145.740136 94.643566) + (xy 145.486522 94.897181) + (xy 145.425199 94.930666) + (xy 145.398841 94.9335) + (xy 145.067618 94.9335) + (xy 144.992682 94.944418) + (xy 144.99268 94.944418) + (xy 144.992678 94.944419) + (xy 144.8771 95.000921) + (xy 144.877097 95.000923) + (xy 144.816881 95.06114) + (xy 144.755558 95.094625) + (xy 144.685866 95.089641) + (xy 144.641519 95.06114) + (xy 144.581302 95.000923) + (xy 144.534414 94.978001) + (xy 144.482832 94.930873) + (xy 144.464918 94.863339) + (xy 144.472931 94.822634) + (xy 144.501837 94.746418) + (xy 144.520878 94.5896) + (xy 144.501837 94.432782) + (xy 144.449192 94.29397) + (xy 144.443826 94.224308) + (xy 144.476973 94.162802) + (xy 144.538112 94.12898) + (xy 144.565135 94.126) + (xy 145.323653 94.126) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 152.635473 87.795385) + (xy 152.656115 87.812019) + (xy 153.261981 88.417884) + (xy 153.295466 88.479207) + (xy 153.2983 88.505565) + (xy 153.2983 90.583071) + (xy 153.284785 90.639366) + (xy 153.232781 90.741427) + (xy 153.232781 90.741428) + (xy 153.2183 90.832864) + (xy 153.2183 91.234339) + (xy 153.232781 91.32577) + (xy 153.232781 91.325771) + (xy 153.232782 91.325774) + (xy 153.232783 91.325775) + (xy 153.288068 91.434278) + (xy 153.288942 91.435992) + (xy 153.29468 91.44389) + (xy 153.29315 91.445001) + (xy 153.320864 91.495743) + (xy 153.3237 91.522112) + (xy 153.3237 91.959468) + (xy 153.320591 91.98706) + (xy 153.319884 91.990154) + (xy 153.323353 92.036428) + (xy 153.3237 92.045696) + (xy 153.3237 92.41284) + (xy 153.304015 92.479879) + (xy 153.288353 92.498156) + (xy 153.288691 92.498456) + (xy 153.283715 92.504072) + (xy 153.193981 92.634075) + (xy 153.19398 92.634076) + (xy 153.137962 92.781781) + (xy 153.118922 92.938599) + (xy 153.118922 92.9386) + (xy 153.137962 93.095418) + (xy 153.19398 93.243123) + (xy 153.283717 93.37313) + (xy 153.40196 93.477883) + (xy 153.401962 93.477884) + (xy 153.541834 93.551296) + (xy 153.695214 93.5891) + (xy 153.695215 93.5891) + (xy 153.853185 93.5891) + (xy 154.006565 93.551296) + (xy 154.05496 93.525896) + (xy 154.14644 93.477883) + (xy 154.264683 93.37313) + (xy 154.35442 93.243123) + (xy 154.401958 93.117774) + (xy 154.444136 93.062072) + (xy 154.509733 93.038015) + (xy 154.577924 93.053242) + (xy 154.627057 93.102918) + (xy 154.6419 93.161746) + (xy 154.6419 94.136944) + (xy 154.622215 94.203983) + (xy 154.569411 94.249738) + (xy 154.500253 94.259682) + (xy 154.436697 94.230657) + (xy 154.430219 94.224625) + (xy 154.283413 94.077819) + (xy 154.283382 94.07779) + (xy 154.263942 94.05835) + (xy 154.26394 94.058349) + (xy 154.2442 94.04829) + (xy 154.227614 94.038126) + (xy 154.20969 94.025104) + (xy 154.209691 94.025104) + (xy 154.188618 94.018257) + (xy 154.170645 94.010812) + (xy 154.150907 94.000755) + (xy 154.150904 94.000754) + (xy 154.129018 93.997287) + (xy 154.110107 93.992746) + (xy 154.089039 93.985901) + (xy 154.089034 93.9859) + (xy 154.089033 93.9859) + (xy 154.057119 93.9859) + (xy 151.758881 93.9859) + (xy 151.75377 93.9859) + (xy 151.753746 93.985901) + (xy 151.726964 93.985901) + (xy 151.705899 93.992746) + (xy 151.686982 93.997287) + (xy 151.6651 94.000752) + (xy 151.665095 94.000754) + (xy 151.64535 94.010814) + (xy 151.627386 94.018255) + (xy 151.606307 94.025105) + (xy 151.588384 94.038127) + (xy 151.571799 94.04829) + (xy 151.552059 94.058348) + (xy 151.54591 94.064498) + (xy 151.529492 94.080916) + (xy 151.529491 94.080917) + (xy 151.356026 94.254381) + (xy 151.294703 94.287866) + (xy 151.268345 94.2907) + (xy 151.086322 94.2907) + (xy 151.019283 94.271015) + (xy 150.973528 94.218211) + (xy 150.963584 94.149053) + (xy 150.992609 94.085497) + (xy 151.014267 94.065784) + (xy 151.028294 94.055768) + (xy 151.03452 94.050922) + (xy 151.034526 94.050919) + (xy 151.070016 94.012365) + (xy 151.073494 94.008741) + (xy 151.597318 93.484917) + (xy 151.65864 93.451434) + (xy 151.728332 93.456418) + (xy 151.742624 93.462804) + (xy 151.862834 93.525896) + (xy 152.016214 93.5637) + (xy 152.016215 93.5637) + (xy 152.174185 93.5637) + (xy 152.327565 93.525896) + (xy 152.405639 93.484919) + (xy 152.46744 93.452483) + (xy 152.585683 93.34773) + (xy 152.67542 93.217723) + (xy 152.731437 93.070018) + (xy 152.750478 92.9132) + (xy 152.731437 92.756382) + (xy 152.67542 92.608677) + (xy 152.585683 92.47867) + (xy 152.46744 92.373917) + (xy 152.467438 92.373916) + (xy 152.467437 92.373915) + (xy 152.327565 92.300503) + (xy 152.174186 92.2627) + (xy 152.174185 92.2627) + (xy 152.016215 92.2627) + (xy 152.016214 92.2627) + (xy 151.862834 92.300503) + (xy 151.722962 92.373915) + (xy 151.672934 92.418236) + (xy 151.621472 92.463827) + (xy 151.604715 92.478672) + (xy 151.588489 92.502179) + (xy 151.538025 92.543072) + (xy 151.538432 92.543908) + (xy 151.53828 92.543982) + (xy 151.536001 92.544711) + (xy 151.534205 92.546168) + (xy 151.524096 92.549772) + (xy 151.524106 92.549799) + (xy 151.514386 92.553136) + (xy 151.468371 92.578037) + (xy 151.46382 92.58038) + (xy 151.416792 92.603371) + (xy 151.410375 92.607953) + (xy 151.404174 92.612779) + (xy 151.368718 92.651294) + (xy 151.365172 92.654989) + (xy 150.89718 93.122981) + (xy 150.835857 93.156466) + (xy 150.766165 93.151482) + (xy 150.710232 93.10961) + (xy 150.685815 93.044146) + (xy 150.685499 93.0353) + (xy 150.685499 92.963819) + (xy 150.679499 92.918243) + (xy 150.679499 92.918242) + (xy 150.679499 92.91824) + (xy 150.65486 92.865403) + (xy 150.644369 92.796327) + (xy 150.654858 92.760601) + (xy 150.679499 92.70776) + (xy 150.6855 92.662179) + (xy 150.685499 92.463822) + (xy 150.68519 92.461478) + (xy 150.679499 92.418243) + (xy 150.679499 92.41824) + (xy 150.65486 92.365403) + (xy 150.644369 92.296327) + (xy 150.654858 92.260601) + (xy 150.679499 92.20776) + (xy 150.6855 92.162179) + (xy 150.685499 91.963822) + (xy 150.679499 91.91824) + (xy 150.65486 91.865403) + (xy 150.644369 91.796327) + (xy 150.654858 91.760601) + (xy 150.679499 91.70776) + (xy 150.6855 91.662179) + (xy 150.685499 91.463822) + (xy 150.679499 91.41824) + (xy 150.65486 91.365403) + (xy 150.644369 91.296327) + (xy 150.654858 91.260601) + (xy 150.679499 91.20776) + (xy 150.6855 91.162179) + (xy 150.685499 90.963822) + (xy 150.679499 90.91824) + (xy 150.65486 90.865403) + (xy 150.644369 90.796327) + (xy 150.654858 90.760601) + (xy 150.679499 90.70776) + (xy 150.6855 90.662179) + (xy 150.685499 90.463822) + (xy 150.679499 90.41824) + (xy 150.65486 90.365403) + (xy 150.644369 90.296327) + (xy 150.654858 90.260601) + (xy 150.679499 90.20776) + (xy 150.6855 90.162179) + (xy 150.685499 89.963822) + (xy 150.679499 89.91824) + (xy 150.63285 89.818201) + (xy 150.554799 89.74015) + (xy 150.45476 89.693501) + (xy 150.454758 89.6935) + (xy 150.409188 89.687501) + (xy 150.409185 89.6875) + (xy 150.409179 89.6875) + (xy 150.409172 89.6875) + (xy 149.67751 89.6875) + (xy 149.610471 89.667815) + (xy 149.564716 89.615011) + (xy 149.554772 89.545853) + (xy 149.583797 89.482297) + (xy 149.589816 89.475832) + (xy 149.63285 89.432799) + (xy 149.679499 89.33276) + (xy 149.6855 89.287179) + (xy 149.6855 88.906614) + (xy 149.6855 87.8997) + (xy 149.705185 87.832661) + (xy 149.757989 87.786906) + (xy 149.8095 87.7757) + (xy 152.568434 87.7757) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 148.877539 87.795385) + (xy 148.923294 87.848189) + (xy 148.9345 87.8997) + (xy 148.9345 89.28718) + (xy 148.9405 89.332756) + (xy 148.940502 89.332763) + (xy 148.956051 89.366108) + (xy 148.98715 89.432799) + (xy 149.065201 89.51085) + (xy 149.16524 89.557499) + (xy 149.210821 89.5635) + (xy 149.317489 89.563499) + (xy 149.384528 89.583183) + (xy 149.430283 89.635987) + (xy 149.440227 89.705145) + (xy 149.411203 89.768701) + (xy 149.405171 89.775179) + (xy 149.36215 89.8182) + (xy 149.3155 89.918241) + (xy 149.309501 89.963811) + (xy 149.3095 89.963827) + (xy 149.3095 90.16218) + (xy 149.3155 90.207756) + (xy 149.315503 90.207766) + (xy 149.340138 90.260596) + (xy 149.35063 90.329673) + (xy 149.340139 90.365404) + (xy 149.3155 90.418243) + (xy 149.309501 90.463811) + (xy 149.3095 90.463827) + (xy 149.3095 90.66218) + (xy 149.3155 90.707756) + (xy 149.315503 90.707766) + (xy 149.340138 90.760596) + (xy 149.35063 90.829673) + (xy 149.340139 90.865404) + (xy 149.3155 90.918243) + (xy 149.309501 90.963811) + (xy 149.3095 90.963827) + (xy 149.3095 91.16218) + (xy 149.3155 91.207756) + (xy 149.315503 91.207766) + (xy 149.340138 91.260596) + (xy 149.35063 91.329673) + (xy 149.340139 91.365403) + (xy 149.318957 91.41083) + (xy 149.3155 91.418243) + (xy 149.309501 91.463811) + (xy 149.3095 91.463827) + (xy 149.3095 91.66218) + (xy 149.3155 91.707756) + (xy 149.315503 91.707766) + (xy 149.340138 91.760596) + (xy 149.35063 91.829673) + (xy 149.340139 91.865404) + (xy 149.3155 91.918243) + (xy 149.309501 91.963811) + (xy 149.3095 91.963827) + (xy 149.3095 92.16218) + (xy 149.3155 92.207756) + (xy 149.315503 92.207766) + (xy 149.340138 92.260596) + (xy 149.35063 92.329673) + (xy 149.340139 92.365403) + (xy 149.321671 92.40501) + (xy 149.3155 92.418243) + (xy 149.309501 92.463811) + (xy 149.3095 92.463827) + (xy 149.3095 92.66218) + (xy 149.3155 92.707756) + (xy 149.315503 92.707766) + (xy 149.340138 92.760596) + (xy 149.35063 92.829673) + (xy 149.340139 92.865404) + (xy 149.3155 92.918242) + (xy 149.310439 92.956687) + (xy 149.282172 93.020583) + (xy 149.223848 93.059054) + (xy 149.203684 93.06344) + (xy 149.165243 93.0685) + (xy 149.165236 93.068502) + (xy 149.0652 93.11515) + (xy 148.98715 93.1932) + (xy 148.9405 93.293241) + (xy 148.934501 93.338811) + (xy 148.9345 93.338827) + (xy 148.9345 93.729828) + (xy 148.934077 93.740065) + (xy 148.933077 93.752136) + (xy 148.926109 93.770192) + (xy 148.928477 93.773876) + (xy 148.929708 93.778379) + (xy 148.930608 93.781934) + (xy 148.930608 93.781937) + (xy 148.930708 93.782334) + (xy 148.9345 93.812761) + (xy 148.9345 94.03184) + (xy 148.914815 94.098879) + (xy 148.862011 94.144634) + (xy 148.792853 94.154578) + (xy 148.729297 94.125553) + (xy 148.722819 94.119521) + (xy 148.721819 94.118521) + (xy 148.688334 94.057198) + (xy 148.6855 94.03084) + (xy 148.6855 93.808811) + (xy 148.694334 93.778724) + (xy 148.688045 93.766892) + (xy 148.6855 93.741899) + (xy 148.6855 93.711791) + (xy 148.685499 93.711773) + (xy 148.685499 93.338819) + (xy 148.681415 93.307799) + (xy 148.679499 93.29324) + (xy 148.63285 93.193201) + (xy 148.554799 93.11515) + (xy 148.45476 93.068501) + (xy 148.454758 93.0685) + (xy 148.409188 93.062501) + (xy 148.409185 93.0625) + (xy 148.409179 93.0625) + (xy 148.409172 93.0625) + (xy 148.210819 93.0625) + (xy 148.165243 93.0685) + (xy 148.165238 93.068501) + (xy 148.112403 93.093139) + (xy 148.043325 93.10363) + (xy 148.007595 93.093138) + (xy 147.954759 93.0685) + (xy 147.909188 93.062501) + (xy 147.909185 93.0625) + (xy 147.909179 93.0625) + (xy 147.909172 93.0625) + (xy 147.710819 93.0625) + (xy 147.665243 93.0685) + (xy 147.665238 93.068501) + (xy 147.612403 93.093139) + (xy 147.543325 93.10363) + (xy 147.507595 93.093138) + (xy 147.454759 93.0685) + (xy 147.409188 93.062501) + (xy 147.409185 93.0625) + (xy 147.409179 93.0625) + (xy 147.409172 93.0625) + (xy 147.210819 93.0625) + (xy 147.165243 93.0685) + (xy 147.165238 93.068501) + (xy 147.112403 93.093139) + (xy 147.043325 93.10363) + (xy 147.007595 93.093138) + (xy 146.954759 93.0685) + (xy 146.909188 93.062501) + (xy 146.909185 93.0625) + (xy 146.909179 93.0625) + (xy 146.909172 93.0625) + (xy 146.710819 93.0625) + (xy 146.665243 93.0685) + (xy 146.665238 93.068501) + (xy 146.612403 93.093139) + (xy 146.543325 93.10363) + (xy 146.507595 93.093138) + (xy 146.454759 93.0685) + (xy 146.409188 93.062501) + (xy 146.409185 93.0625) + (xy 146.409179 93.0625) + (xy 146.409172 93.0625) + (xy 146.210819 93.0625) + (xy 146.165243 93.0685) + (xy 146.165238 93.068501) + (xy 146.112403 93.093139) + (xy 146.043325 93.10363) + (xy 146.007595 93.093138) + (xy 145.954759 93.0685) + (xy 145.909188 93.062501) + (xy 145.909185 93.0625) + (xy 145.909179 93.0625) + (xy 145.909172 93.0625) + (xy 145.80251 93.0625) + (xy 145.735471 93.042815) + (xy 145.689716 92.990011) + (xy 145.679772 92.920853) + (xy 145.708797 92.857297) + (xy 145.714816 92.850832) + (xy 145.75785 92.807799) + (xy 145.804499 92.70776) + (xy 145.8105 92.662179) + (xy 145.810499 92.463822) + (xy 145.81019 92.461478) + (xy 145.804499 92.418243) + (xy 145.804499 92.41824) + (xy 145.77986 92.365403) + (xy 145.769369 92.296327) + (xy 145.779858 92.260601) + (xy 145.804499 92.20776) + (xy 145.8105 92.162179) + (xy 145.810499 91.963822) + (xy 145.804499 91.91824) + (xy 145.77986 91.865403) + (xy 145.769369 91.796327) + (xy 145.779858 91.760601) + (xy 145.804499 91.70776) + (xy 145.8105 91.662179) + (xy 145.810499 91.463822) + (xy 145.804499 91.41824) + (xy 145.77986 91.365403) + (xy 145.769369 91.296327) + (xy 145.779858 91.260601) + (xy 145.804499 91.20776) + (xy 145.8105 91.162179) + (xy 145.810499 90.963822) + (xy 145.804499 90.91824) + (xy 145.77986 90.865403) + (xy 145.769369 90.796327) + (xy 145.779858 90.760601) + (xy 145.804499 90.70776) + (xy 145.8105 90.662179) + (xy 145.810499 90.463822) + (xy 145.804499 90.41824) + (xy 145.77986 90.365403) + (xy 145.769369 90.296327) + (xy 145.779858 90.260601) + (xy 145.804499 90.20776) + (xy 145.8105 90.162179) + (xy 145.810499 89.963822) + (xy 145.804499 89.91824) + (xy 145.75785 89.818201) + (xy 145.757847 89.818198) + (xy 145.75636 89.816074) + (xy 145.755058 89.812215) + (xy 145.753265 89.808369) + (xy 145.753694 89.808168) + (xy 145.734032 89.749868) + (xy 145.751041 89.6821) + (xy 145.801989 89.634287) + (xy 145.831841 89.625855) + (xy 145.831476 89.624414) + (xy 145.841435 89.621891) + (xy 145.841437 89.621892) + (xy 145.962922 89.591128) + (xy 146.019492 89.554168) + (xy 146.086378 89.533982) + (xy 146.139715 89.545596) + (xy 146.16524 89.557499) + (xy 146.210821 89.5635) + (xy 146.409178 89.563499) + (xy 146.40918 89.563499) + (xy 146.424372 89.561499) + (xy 146.45476 89.557499) + (xy 146.507597 89.53286) + (xy 146.576671 89.522369) + (xy 146.612401 89.532859) + (xy 146.66524 89.557499) + (xy 146.710821 89.5635) + (xy 146.909178 89.563499) + (xy 146.90918 89.563499) + (xy 146.924372 89.561499) + (xy 146.95476 89.557499) + (xy 147.007597 89.53286) + (xy 147.076671 89.522369) + (xy 147.112401 89.532859) + (xy 147.16524 89.557499) + (xy 147.210821 89.5635) + (xy 147.409178 89.563499) + (xy 147.40918 89.563499) + (xy 147.424372 89.561499) + (xy 147.45476 89.557499) + (xy 147.507597 89.53286) + (xy 147.576671 89.522369) + (xy 147.612401 89.532859) + (xy 147.66524 89.557499) + (xy 147.710821 89.5635) + (xy 147.909178 89.563499) + (xy 147.90918 89.563499) + (xy 147.924372 89.561499) + (xy 147.95476 89.557499) + (xy 148.007597 89.53286) + (xy 148.076671 89.522369) + (xy 148.112401 89.532859) + (xy 148.16524 89.557499) + (xy 148.210821 89.5635) + (xy 148.409178 89.563499) + (xy 148.40918 89.563499) + (xy 148.424372 89.561499) + (xy 148.45476 89.557499) + (xy 148.554799 89.51085) + (xy 148.63285 89.432799) + (xy 148.679499 89.33276) + (xy 148.6855 89.287179) + (xy 148.685499 88.463822) + (xy 148.679499 88.41824) + (xy 148.63285 88.318201) + (xy 148.554799 88.24015) + (xy 148.45476 88.193501) + (xy 148.454758 88.1935) + (xy 148.409188 88.187501) + (xy 148.409185 88.1875) + (xy 148.409179 88.1875) + (xy 148.409172 88.1875) + (xy 148.210819 88.1875) + (xy 148.165243 88.1935) + (xy 148.165238 88.193501) + (xy 148.112403 88.218139) + (xy 148.043325 88.22863) + (xy 148.007595 88.218138) + (xy 147.954759 88.1935) + (xy 147.909188 88.187501) + (xy 147.909185 88.1875) + (xy 147.909179 88.1875) + (xy 147.909172 88.1875) + (xy 147.710819 88.1875) + (xy 147.665243 88.1935) + (xy 147.665238 88.193501) + (xy 147.612403 88.218139) + (xy 147.543325 88.22863) + (xy 147.507595 88.218138) + (xy 147.454759 88.1935) + (xy 147.409188 88.187501) + (xy 147.409185 88.1875) + (xy 147.409179 88.1875) + (xy 147.409172 88.1875) + (xy 147.210819 88.1875) + (xy 147.165243 88.1935) + (xy 147.165238 88.193501) + (xy 147.112403 88.218139) + (xy 147.043325 88.22863) + (xy 147.007595 88.218138) + (xy 146.954759 88.1935) + (xy 146.909188 88.187501) + (xy 146.909185 88.1875) + (xy 146.909179 88.1875) + (xy 146.909172 88.1875) + (xy 146.710819 88.1875) + (xy 146.665243 88.1935) + (xy 146.665238 88.193501) + (xy 146.612403 88.218139) + (xy 146.543325 88.22863) + (xy 146.507595 88.218138) + (xy 146.454759 88.1935) + (xy 146.409188 88.187501) + (xy 146.409185 88.1875) + (xy 146.409179 88.1875) + (xy 146.409172 88.1875) + (xy 146.3345 88.1875) + (xy 146.267461 88.167815) + (xy 146.221706 88.115011) + (xy 146.2105 88.0635) + (xy 146.2105 87.8997) + (xy 146.230185 87.832661) + (xy 146.282989 87.786906) + (xy 146.3345 87.7757) + (xy 148.8105 87.7757) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.445139 92.214985) + (xy 143.465781 92.231619) + (xy 143.52605 92.291888) + (xy 143.542175 92.311744) + (xy 143.542996 92.313) + (xy 143.548116 92.320836) + (xy 143.573093 92.340276) + (xy 143.584618 92.350456) + (xy 143.584684 92.350522) + (xy 143.601597 92.362597) + (xy 143.605709 92.365662) + (xy 143.629348 92.384061) + (xy 143.647011 92.397809) + (xy 143.647013 92.397809) + (xy 143.65392 92.401547) + (xy 143.660998 92.405008) + (xy 143.661001 92.40501) + (xy 143.711185 92.41995) + (xy 143.715978 92.421485) + (xy 143.76554 92.4385) + (xy 143.765543 92.438501) + (xy 143.773272 92.43979) + (xy 143.78111 92.440767) + (xy 143.781112 92.440768) + (xy 143.781113 92.440767) + (xy 143.781114 92.440768) + (xy 143.819213 92.439192) + (xy 143.833393 92.438605) + (xy 143.838516 92.4385) + (xy 144.3105 92.4385) + (xy 144.377539 92.458185) + (xy 144.423294 92.510989) + (xy 144.4345 92.562499) + (xy 144.4345 92.66218) + (xy 144.4405 92.707756) + (xy 144.440502 92.707763) + (xy 144.48715 92.807799) + (xy 144.565201 92.88585) + (xy 144.66524 92.932499) + (xy 144.710821 92.9385) + (xy 145.442489 92.938499) + (xy 145.509528 92.958183) + (xy 145.555283 93.010987) + (xy 145.565227 93.080146) + (xy 145.536202 93.143702) + (xy 145.530171 93.150179) + (xy 145.48715 93.1932) + (xy 145.436492 93.301838) + (xy 145.433321 93.300359) + (xy 145.405027 93.344564) + (xy 145.341525 93.373705) + (xy 145.323653 93.375) + (xy 142.95594 93.375) + (xy 142.888901 93.355315) + (xy 142.868259 93.338681) + (xy 142.835259 93.305681) + (xy 142.801774 93.244358) + (xy 142.806758 93.174666) + (xy 142.835257 93.13032) + (xy 142.895476 93.070102) + (xy 142.895476 93.0701) + (xy 142.895478 93.070099) + (xy 142.93463 92.990011) + (xy 142.951982 92.954518) + (xy 142.9629 92.879582) + (xy 142.9629 92.536418) + (xy 142.951982 92.461482) + (xy 142.950145 92.457724) + (xy 142.909098 92.37376) + (xy 142.897338 92.304887) + (xy 142.924682 92.24059) + (xy 142.982446 92.201283) + (xy 143.020498 92.1953) + (xy 143.3781 92.1953) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 145.352539 87.795385) + (xy 145.398294 87.848189) + (xy 145.4095 87.8997) + (xy 145.4095 88.907024) + (xy 145.424352 89.000799) + (xy 145.42737 89.010086) + (xy 145.424985 89.01086) + (xy 145.4345 89.050487) + (xy 145.4345 89.287174) + (xy 145.440501 89.332761) + (xy 145.443154 89.341863) + (xy 145.442968 89.341917) + (xy 145.449305 89.362343) + (xy 145.449932 89.366102) + (xy 145.449934 89.366108) + (xy 145.449934 89.366109) + (xy 145.449935 89.36611) + (xy 145.509581 89.476326) + (xy 145.509582 89.476327) + (xy 145.515893 89.484435) + (xy 145.513572 89.48624) + (xy 145.541168 89.532168) + (xy 145.539062 89.602006) + (xy 145.499532 89.659619) + (xy 145.43513 89.686714) + (xy 145.421192 89.6875) + (xy 144.710819 89.6875) + (xy 144.665243 89.6935) + (xy 144.665236 89.693502) + (xy 144.5652 89.74015) + (xy 144.48715 89.8182) + (xy 144.4405 89.918241) + (xy 144.434501 89.963811) + (xy 144.4345 89.963827) + (xy 144.4345 90.16218) + (xy 144.4405 90.207756) + (xy 144.440503 90.207766) + (xy 144.465138 90.260596) + (xy 144.47563 90.329673) + (xy 144.465139 90.365404) + (xy 144.4405 90.418243) + (xy 144.434501 90.463811) + (xy 144.4345 90.463827) + (xy 144.4345 90.66218) + (xy 144.4405 90.707756) + (xy 144.440503 90.707766) + (xy 144.465138 90.760596) + (xy 144.47563 90.829673) + (xy 144.465139 90.865404) + (xy 144.4405 90.918243) + (xy 144.434501 90.963811) + (xy 144.4345 90.963827) + (xy 144.4345 91.16218) + (xy 144.4405 91.207756) + (xy 144.440503 91.207766) + (xy 144.465138 91.260596) + (xy 144.47563 91.329673) + (xy 144.465139 91.365403) + (xy 144.443957 91.41083) + (xy 144.4405 91.418243) + (xy 144.436359 91.4497) + (xy 144.4345 91.463821) + (xy 144.4345 91.554015) + (xy 144.434501 91.563499) + (xy 144.414817 91.630538) + (xy 144.362014 91.676294) + (xy 144.310501 91.6875) + (xy 144.056007 91.6875) + (xy 143.988968 91.667815) + (xy 143.943213 91.615011) + (xy 143.940578 91.608801) + (xy 143.93533 91.59543) + (xy 143.904552 91.517006) + (xy 143.904552 91.517005) + (xy 143.843362 91.440277) + (xy 143.819879 91.41083) + (xy 143.819878 91.410829) + (xy 143.819877 91.410828) + (xy 143.819876 91.410827) + (xy 143.707675 91.334329) + (xy 143.707671 91.334327) + (xy 143.577903 91.2943) + (xy 143.577902 91.2943) + (xy 142.460302 91.2943) + (xy 142.457132 91.2943) + (xy 142.42954 91.291191) + (xy 142.426446 91.290485) + (xy 142.426442 91.290484) + (xy 142.382102 91.293808) + (xy 142.380169 91.293953) + (xy 142.370903 91.2943) + (xy 141.3413 91.2943) + (xy 141.274261 91.274615) + (xy 141.228506 91.221811) + (xy 141.2173 91.1703) + (xy 141.2173 88.782365) + (xy 141.236985 88.715326) + (xy 141.253619 88.694684) + (xy 142.136284 87.812019) + (xy 142.197607 87.778534) + (xy 142.223965 87.7757) + (xy 145.2855 87.7757) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 158.819539 83.967185) + (xy 158.865294 84.019989) + (xy 158.8765 84.0715) + (xy 158.8765 107.8615) + (xy 158.856815 107.928539) + (xy 158.804011 107.974294) + (xy 158.7525 107.9855) + (xy 136.156 107.9855) + (xy 136.088961 107.965815) + (xy 136.043206 107.913011) + (xy 136.032 107.8615) + (xy 136.032 105.531678) + (xy 139.087 105.531678) + (xy 139.101532 105.604735) + (xy 139.101533 105.604739) + (xy 139.101534 105.60474) + (xy 139.156899 105.687601) + (xy 139.23976 105.742966) + (xy 139.239764 105.742967) + (xy 139.312821 105.757499) + (xy 139.312824 105.7575) + (xy 139.312826 105.7575) + (xy 140.362176 105.7575) + (xy 140.362177 105.757499) + (xy 140.43524 105.742966) + (xy 140.518101 105.687601) + (xy 140.573466 105.60474) + (xy 140.587999 105.531678) + (xy 141.627 105.531678) + (xy 141.641532 105.604735) + (xy 141.641533 105.604739) + (xy 141.641534 105.60474) + (xy 141.696899 105.687601) + (xy 141.77976 105.742966) + (xy 141.779764 105.742967) + (xy 141.852821 105.757499) + (xy 141.852824 105.7575) + (xy 141.852826 105.7575) + (xy 142.902176 105.7575) + (xy 142.902177 105.757499) + (xy 142.97524 105.742966) + (xy 143.058101 105.687601) + (xy 143.113466 105.60474) + (xy 143.128 105.531674) + (xy 143.128 102.482326) + (xy 143.128 102.482323) + (xy 143.127999 102.482321) + (xy 143.113467 102.409264) + (xy 143.113466 102.40926) + (xy 143.058101 102.326399) + (xy 142.97524 102.271034) + (xy 142.975239 102.271033) + (xy 142.975235 102.271032) + (xy 142.902177 102.2565) + (xy 142.902174 102.2565) + (xy 141.852826 102.2565) + (xy 141.852823 102.2565) + (xy 141.779764 102.271032) + (xy 141.77976 102.271033) + (xy 141.696899 102.326399) + (xy 141.641533 102.40926) + (xy 141.641532 102.409264) + (xy 141.627 102.482321) + (xy 141.627 105.531678) + (xy 140.587999 105.531678) + (xy 140.588 105.531674) + (xy 140.588 102.482326) + (xy 140.588 102.482323) + (xy 140.587999 102.482321) + (xy 140.573467 102.409264) + (xy 140.573466 102.40926) + (xy 140.518101 102.326399) + (xy 140.43524 102.271034) + (xy 140.435239 102.271033) + (xy 140.423956 102.26636) + (xy 140.424657 102.264665) + (xy 140.375896 102.239157) + (xy 140.341323 102.178441) + (xy 140.338 102.149927) + (xy 140.338 101.945657) + (xy 140.357685 101.878618) + (xy 140.35995 101.875217) + (xy 140.360993 101.873706) + (xy 140.41772 101.791523) + (xy 140.473737 101.643818) + (xy 140.492778 101.487) + (xy 140.491506 101.476519) + (xy 140.473737 101.330181) + (xy 140.434911 101.227807) + (xy 140.41772 101.182477) + (xy 140.327983 101.05247) + (xy 140.20974 100.947717) + (xy 140.209738 100.947716) + (xy 140.209737 100.947715) + (xy 140.203703 100.94355) + (xy 140.159713 100.889267) + (xy 140.152053 100.819818) + (xy 140.183157 100.757253) + (xy 140.243147 100.721436) + (xy 140.274143 100.7175) + (xy 140.362176 100.7175) + (xy 140.362177 100.717499) + (xy 140.43524 100.702966) + (xy 140.518101 100.647601) + (xy 140.573466 100.56474) + (xy 140.588 100.491674) + (xy 140.588 97.442326) + (xy 140.588 97.442323) + (xy 140.587999 97.442321) + (xy 140.573467 97.369264) + (xy 140.573466 97.36926) + (xy 140.518101 97.286399) + (xy 140.462735 97.249405) + (xy 140.435239 97.231033) + (xy 140.435235 97.231032) + (xy 140.362177 97.2165) + (xy 140.362174 97.2165) + (xy 140.337 97.2165) + (xy 140.269961 97.196815) + (xy 140.224206 97.144011) + (xy 140.213 97.0925) + (xy 140.213 96.618202) + (xy 140.232685 96.551163) + (xy 140.254774 96.525386) + (xy 140.327982 96.460531) + (xy 140.331714 96.455124) + (xy 140.41772 96.330523) + (xy 140.473737 96.182818) + (xy 140.492778 96.026) + (xy 140.490481 96.007078) + (xy 140.473737 95.869181) + (xy 140.440104 95.780499) + (xy 140.41772 95.721477) + (xy 140.327983 95.59147) + (xy 140.20974 95.486717) + (xy 140.209738 95.486716) + (xy 140.209737 95.486715) + (xy 140.069865 95.413303) + (xy 139.916486 95.3755) + (xy 139.916485 95.3755) + (xy 139.758515 95.3755) + (xy 139.758514 95.3755) + (xy 139.605134 95.413303) + (xy 139.465262 95.486715) + (xy 139.397981 95.54632) + (xy 139.350317 95.588547) + (xy 139.347016 95.591471) + (xy 139.257281 95.721475) + (xy 139.25728 95.721476) + (xy 139.201262 95.869181) + (xy 139.182222 96.025999) + (xy 139.182222 96.026) + (xy 139.201262 96.182818) + (xy 139.25089 96.313675) + (xy 139.25728 96.330523) + (xy 139.274454 96.355404) + (xy 139.347017 96.460531) + (xy 139.420226 96.525386) + (xy 139.457353 96.584574) + (xy 139.462 96.618202) + (xy 139.462 97.0925) + (xy 139.442315 97.159539) + (xy 139.389511 97.205294) + (xy 139.338 97.2165) + (xy 139.312823 97.2165) + (xy 139.239764 97.231032) + (xy 139.23976 97.231033) + (xy 139.156899 97.286399) + (xy 139.101533 97.36926) + (xy 139.101532 97.369264) + (xy 139.087 97.442321) + (xy 139.087 100.491678) + (xy 139.101532 100.564735) + (xy 139.101533 100.564739) + (xy 139.101534 100.56474) + (xy 139.156899 100.647601) + (xy 139.220374 100.690013) + (xy 139.23976 100.702966) + (xy 139.239764 100.702967) + (xy 139.312821 100.717499) + (xy 139.312824 100.7175) + (xy 139.400857 100.7175) + (xy 139.467896 100.737185) + (xy 139.513651 100.789989) + (xy 139.523595 100.859147) + (xy 139.49457 100.922703) + (xy 139.471297 100.94355) + (xy 139.465262 100.947715) + (xy 139.347016 101.052471) + (xy 139.257281 101.182475) + (xy 139.25728 101.182476) + (xy 139.201262 101.330181) + (xy 139.182222 101.486999) + (xy 139.182222 101.487) + (xy 139.201262 101.643818) + (xy 139.25728 101.791523) + (xy 139.25728 101.791524) + (xy 139.31505 101.875217) + (xy 139.336933 101.941571) + (xy 139.337 101.945657) + (xy 139.337 102.149927) + (xy 139.317315 102.216966) + (xy 139.264511 102.262721) + (xy 139.243255 102.269586) + (xy 139.23976 102.271033) + (xy 139.156899 102.326399) + (xy 139.101533 102.40926) + (xy 139.101532 102.409264) + (xy 139.087 102.482321) + (xy 139.087 105.531678) + (xy 136.032 105.531678) + (xy 136.032 91.7448) + (xy 140.111522 91.7448) + (xy 140.130562 91.901618) + (xy 140.182104 92.037521) + (xy 140.18658 92.049323) + (xy 140.276317 92.17933) + (xy 140.39456 92.284083) + (xy 140.394562 92.284084) + (xy 140.534434 92.357496) + (xy 140.687814 92.3953) + (xy 140.687815 92.3953) + (xy 140.845785 92.3953) + (xy 140.999165 92.357496) + (xy 141.042473 92.334766) + (xy 141.13904 92.284083) + (xy 141.204056 92.226483) + (xy 141.26729 92.196763) + (xy 141.286283 92.1953) + (xy 141.764302 92.1953) + (xy 141.831341 92.214985) + (xy 141.877096 92.267789) + (xy 141.88704 92.336947) + (xy 141.875702 92.37376) + (xy 141.832819 92.461478) + (xy 141.832818 92.46148) + (xy 141.832818 92.461482) + (xy 141.8219 92.536418) + (xy 141.8219 92.879582) + (xy 141.832818 92.954518) + (xy 141.832818 92.954519) + (xy 141.832819 92.954521) + (xy 141.889321 93.070099) + (xy 141.889323 93.070102) + (xy 141.94954 93.130319) + (xy 141.983025 93.191642) + (xy 141.978041 93.261334) + (xy 141.94954 93.305681) + (xy 141.889323 93.365897) + (xy 141.889321 93.3659) + (xy 141.832819 93.481478) + (xy 141.832818 93.48148) + (xy 141.832818 93.481482) + (xy 141.8219 93.556418) + (xy 141.8219 93.899582) + (xy 141.832818 93.974518) + (xy 141.832818 93.974519) + (xy 141.832819 93.974521) + (xy 141.889321 94.090099) + (xy 141.889323 94.090101) + (xy 141.889324 94.090102) + (xy 141.980298 94.181076) + (xy 141.980299 94.181076) + (xy 141.980581 94.181358) + (xy 142.014066 94.242681) + (xy 142.0169 94.26904) + (xy 142.0169 97.0925) + (xy 141.997215 97.159539) + (xy 141.944411 97.205294) + (xy 141.8929 97.2165) + (xy 141.852823 97.2165) + (xy 141.779764 97.231032) + (xy 141.77976 97.231033) + (xy 141.696899 97.286399) + (xy 141.641533 97.36926) + (xy 141.641532 97.369264) + (xy 141.627 97.442321) + (xy 141.627 100.491678) + (xy 141.641532 100.564735) + (xy 141.641533 100.564739) + (xy 141.641534 100.56474) + (xy 141.696899 100.647601) + (xy 141.760374 100.690013) + (xy 141.77976 100.702966) + (xy 141.779764 100.702967) + (xy 141.852821 100.717499) + (xy 141.852824 100.7175) + (xy 141.852826 100.7175) + (xy 142.902176 100.7175) + (xy 142.902177 100.717499) + (xy 142.97524 100.702966) + (xy 143.058101 100.647601) + (xy 143.113466 100.56474) + (xy 143.128 100.491674) + (xy 143.128 97.442326) + (xy 143.128 97.442323) + (xy 143.127999 97.442321) + (xy 143.113467 97.369264) + (xy 143.113466 97.36926) + (xy 143.058101 97.286399) + (xy 143.002735 97.249405) + (xy 142.975239 97.231033) + (xy 142.975235 97.231032) + (xy 142.902177 97.2165) + (xy 142.902174 97.2165) + (xy 142.8919 97.2165) + (xy 142.824861 97.196815) + (xy 142.779106 97.144011) + (xy 142.7679 97.0925) + (xy 142.7679 94.26904) + (xy 142.787585 94.202001) + (xy 142.804219 94.181359) + (xy 142.823259 94.162319) + (xy 142.884582 94.128834) + (xy 142.91094 94.126) + (xy 143.166065 94.126) + (xy 143.233104 94.145685) + (xy 143.278859 94.198489) + (xy 143.288803 94.267647) + (xy 143.282007 94.293971) + (xy 143.229363 94.432781) + (xy 143.210322 94.589599) + (xy 143.210322 94.5896) + (xy 143.229362 94.746418) + (xy 143.267746 94.847626) + (xy 143.28538 94.894123) + (xy 143.375117 95.02413) + (xy 143.448327 95.088988) + (xy 143.485453 95.148176) + (xy 143.489357 95.176428) + (xy 143.489676 95.176402) + (xy 143.490099 95.181514) + (xy 143.493518 95.202003) + (xy 143.494257 95.207069) + (xy 143.500734 95.259027) + (xy 143.502973 95.266547) + (xy 143.505535 95.274011) + (xy 143.530427 95.320008) + (xy 143.532771 95.324561) + (xy 143.555772 95.371608) + (xy 143.560342 95.378009) + (xy 143.565178 95.384221) + (xy 143.565181 95.384226) + (xy 143.603693 95.419679) + (xy 143.60739 95.423227) + (xy 143.662381 95.478218) + (xy 143.695866 95.539541) + (xy 143.6987 95.565899) + (xy 143.6987 95.725582) + (xy 143.709618 95.800518) + (xy 143.709618 95.800519) + (xy 143.709619 95.800521) + (xy 143.766121 95.916099) + (xy 143.766123 95.916102) + (xy 143.857097 96.007076) + (xy 143.8571 96.007078) + (xy 143.967856 96.061222) + (xy 143.972682 96.063582) + (xy 144.047618 96.0745) + (xy 144.047623 96.0745) + (xy 144.21641 96.0745) + (xy 144.283449 96.094185) + (xy 144.329204 96.146989) + (xy 144.339148 96.216147) + (xy 144.332352 96.242471) + (xy 144.281263 96.377181) + (xy 144.262222 96.533999) + (xy 144.262222 96.534) + (xy 144.281262 96.690818) + (xy 144.33728 96.838523) + (xy 144.337281 96.838524) + (xy 144.427017 96.968531) + (xy 144.46286 97.000284) + (xy 144.499987 97.059473) + (xy 144.49922 97.129339) + (xy 144.460803 97.187699) + (xy 144.398778 97.215205) + (xy 144.3988 97.215311) + (xy 144.398329 97.215404) + (xy 144.396933 97.216024) + (xy 144.392829 97.216498) + (xy 144.319764 97.231032) + (xy 144.31976 97.231033) + (xy 144.236899 97.286399) + (xy 144.181533 97.36926) + (xy 144.181532 97.369264) + (xy 144.167 97.442321) + (xy 144.167 100.491678) + (xy 144.181532 100.564735) + (xy 144.181533 100.564739) + (xy 144.181534 100.56474) + (xy 144.236899 100.647601) + (xy 144.300374 100.690013) + (xy 144.31976 100.702966) + (xy 144.319764 100.702967) + (xy 144.392821 100.717499) + (xy 144.392824 100.7175) + (xy 144.392826 100.7175) + (xy 145.442176 100.7175) + (xy 145.442177 100.717499) + (xy 145.51524 100.702966) + (xy 145.598101 100.647601) + (xy 145.598103 100.647597) + (xy 145.600319 100.645383) + (xy 145.606339 100.642095) + (xy 145.608256 100.640815) + (xy 145.60837 100.640986) + (xy 145.661642 100.611898) + (xy 145.731334 100.616882) + (xy 145.787267 100.658754) + (xy 145.811684 100.724218) + (xy 145.812 100.733064) + (xy 145.812 100.9875) + (xy 145.792315 101.054539) + (xy 145.739511 101.100294) + (xy 145.688 101.1115) + (xy 145.223304 101.1115) + (xy 145.197859 101.108861) + (xy 145.187232 101.106633) + (xy 145.155824 101.110548) + (xy 145.140486 101.1115) + (xy 145.140386 101.1115) + (xy 145.1199 101.114918) + (xy 145.114838 101.115656) + (xy 145.105199 101.116857) + (xy 145.06287 101.122134) + (xy 145.055357 101.124371) + (xy 145.047886 101.126936) + (xy 145.001871 101.151837) + (xy 144.99732 101.15418) + (xy 144.950292 101.177171) + (xy 144.943875 101.181753) + (xy 144.937675 101.186579) + (xy 144.902231 101.225081) + (xy 144.898684 101.228777) + (xy 144.688608 101.438852) + (xy 144.668754 101.454976) + (xy 144.659665 101.460914) + (xy 144.659664 101.460915) + (xy 144.64022 101.485896) + (xy 144.630074 101.497388) + (xy 144.629983 101.497479) + (xy 144.629974 101.497489) + (xy 144.617902 101.514397) + (xy 144.614841 101.518503) + (xy 144.582692 101.559808) + (xy 144.578947 101.566729) + (xy 144.575488 101.573804) + (xy 144.560557 101.623955) + (xy 144.558995 101.628831) + (xy 144.542 101.678338) + (xy 144.540706 101.686092) + (xy 144.539731 101.693911) + (xy 144.541894 101.746191) + (xy 144.542 101.751316) + (xy 144.542 102.1325) + (xy 144.522315 102.199539) + (xy 144.469511 102.245294) + (xy 144.418 102.2565) + (xy 144.392823 102.2565) + (xy 144.319764 102.271032) + (xy 144.31976 102.271033) + (xy 144.236899 102.326399) + (xy 144.181533 102.40926) + (xy 144.181532 102.409264) + (xy 144.167 102.482321) + (xy 144.167 105.531678) + (xy 144.181532 105.604735) + (xy 144.181533 105.604739) + (xy 144.181534 105.60474) + (xy 144.236899 105.687601) + (xy 144.31976 105.742966) + (xy 144.319764 105.742967) + (xy 144.392821 105.757499) + (xy 144.392824 105.7575) + (xy 144.392826 105.7575) + (xy 145.442176 105.7575) + (xy 145.442177 105.757499) + (xy 145.51524 105.742966) + (xy 145.598101 105.687601) + (xy 145.653466 105.60474) + (xy 145.667999 105.531678) + (xy 146.707 105.531678) + (xy 146.721532 105.604735) + (xy 146.721533 105.604739) + (xy 146.721534 105.60474) + (xy 146.776899 105.687601) + (xy 146.85976 105.742966) + (xy 146.859764 105.742967) + (xy 146.932821 105.757499) + (xy 146.932824 105.7575) + (xy 146.932826 105.7575) + (xy 147.982176 105.7575) + (xy 147.982177 105.757499) + (xy 148.05524 105.742966) + (xy 148.138101 105.687601) + (xy 148.193466 105.60474) + (xy 148.207999 105.531678) + (xy 149.247 105.531678) + (xy 149.261532 105.604735) + (xy 149.261533 105.604739) + (xy 149.261534 105.60474) + (xy 149.316899 105.687601) + (xy 149.39976 105.742966) + (xy 149.399764 105.742967) + (xy 149.472821 105.757499) + (xy 149.472824 105.7575) + (xy 149.472826 105.7575) + (xy 150.522176 105.7575) + (xy 150.522177 105.757499) + (xy 150.59524 105.742966) + (xy 150.678101 105.687601) + (xy 150.733466 105.60474) + (xy 150.748 105.531674) + (xy 150.748 102.482326) + (xy 150.748 102.482323) + (xy 150.747999 102.482321) + (xy 150.733467 102.409264) + (xy 150.733466 102.40926) + (xy 150.678101 102.326399) + (xy 150.59524 102.271034) + (xy 150.595239 102.271033) + (xy 150.595235 102.271032) + (xy 150.522177 102.2565) + (xy 150.522174 102.2565) + (xy 149.472826 102.2565) + (xy 149.472823 102.2565) + (xy 149.399764 102.271032) + (xy 149.39976 102.271033) + (xy 149.316899 102.326399) + (xy 149.261533 102.40926) + (xy 149.261532 102.409264) + (xy 149.247 102.482321) + (xy 149.247 105.531678) + (xy 148.207999 105.531678) + (xy 148.208 105.531674) + (xy 148.208 102.482326) + (xy 148.208 102.482323) + (xy 148.207999 102.482321) + (xy 148.193467 102.409264) + (xy 148.193466 102.40926) + (xy 148.138101 102.326399) + (xy 148.05524 102.271034) + (xy 148.055239 102.271033) + (xy 148.055235 102.271032) + (xy 147.982177 102.2565) + (xy 147.982174 102.2565) + (xy 146.932826 102.2565) + (xy 146.932823 102.2565) + (xy 146.859764 102.271032) + (xy 146.85976 102.271033) + (xy 146.776899 102.326399) + (xy 146.721533 102.40926) + (xy 146.721532 102.409264) + (xy 146.707 102.482321) + (xy 146.707 105.531678) + (xy 145.667999 105.531678) + (xy 145.668 105.531674) + (xy 145.668 102.482326) + (xy 145.668 102.482323) + (xy 145.667999 102.482321) + (xy 145.653467 102.409264) + (xy 145.653466 102.40926) + (xy 145.598101 102.326399) + (xy 145.51524 102.271034) + (xy 145.515239 102.271033) + (xy 145.515235 102.271032) + (xy 145.442177 102.2565) + (xy 145.442174 102.2565) + (xy 145.417 102.2565) + (xy 145.349961 102.236815) + (xy 145.304206 102.184011) + (xy 145.293 102.1325) + (xy 145.293 101.9865) + (xy 145.312685 101.919461) + (xy 145.365489 101.873706) + (xy 145.417 101.8625) + (xy 145.881696 101.8625) + (xy 145.907141 101.865139) + (xy 145.910224 101.865785) + (xy 145.917768 101.867367) + (xy 145.949176 101.863451) + (xy 145.964514 101.8625) + (xy 145.964612 101.8625) + (xy 145.964614 101.8625) + (xy 145.985143 101.859073) + (xy 145.990173 101.858341) + (xy 146.042126 101.851866) + (xy 146.042128 101.851864) + (xy 146.049641 101.849628) + (xy 146.057106 101.847066) + (xy 146.057106 101.847065) + (xy 146.05711 101.847065) + (xy 146.103136 101.822157) + (xy 146.107693 101.819812) + (xy 146.122602 101.812522) + (xy 146.154711 101.796826) + (xy 146.154713 101.796823) + (xy 146.161094 101.792268) + (xy 146.16732 101.787421) + (xy 146.167326 101.787419) + (xy 146.202793 101.74889) + (xy 146.206295 101.74524) + (xy 146.416389 101.535146) + (xy 146.436246 101.519022) + (xy 146.445336 101.513084) + (xy 146.464771 101.488112) + (xy 146.474956 101.476581) + (xy 146.474956 101.47658) + (xy 146.475019 101.476518) + (xy 146.48713 101.459553) + (xy 146.490127 101.455534) + (xy 146.522309 101.414189) + (xy 146.522311 101.414181) + (xy 146.526047 101.407278) + (xy 146.529506 101.400203) + (xy 146.52951 101.400199) + (xy 146.544442 101.350041) + (xy 146.545986 101.345218) + (xy 146.563 101.29566) + (xy 146.563 101.295658) + (xy 146.563001 101.295656) + (xy 146.564291 101.287924) + (xy 146.565268 101.280086) + (xy 146.563106 101.227807) + (xy 146.563 101.222683) + (xy 146.563 100.733064) + (xy 146.582685 100.666025) + (xy 146.635489 100.62027) + (xy 146.704647 100.610326) + (xy 146.768203 100.639351) + (xy 146.774681 100.645383) + (xy 146.776896 100.647598) + (xy 146.776897 100.647599) + (xy 146.776899 100.647601) + (xy 146.840374 100.690013) + (xy 146.85976 100.702966) + (xy 146.859764 100.702967) + (xy 146.932821 100.717499) + (xy 146.932824 100.7175) + (xy 146.932826 100.7175) + (xy 147.982176 100.7175) + (xy 147.982177 100.717499) + (xy 148.05524 100.702966) + (xy 148.074627 100.690011) + (xy 148.141302 100.669135) + (xy 148.208682 100.687619) + (xy 148.231197 100.705434) + (xy 148.287849 100.762086) + (xy 148.303977 100.781945) + (xy 148.309916 100.791036) + (xy 148.312732 100.793228) + (xy 148.334893 100.810476) + (xy 148.346412 100.820649) + (xy 148.346482 100.820719) + (xy 148.363389 100.83279) + (xy 148.367501 100.835856) + (xy 148.40881 100.868009) + (xy 148.415722 100.871749) + (xy 148.422801 100.87521) + (xy 148.470017 100.889267) + (xy 148.47294 100.890137) + (xy 148.477822 100.891701) + (xy 148.527338 100.9087) + (xy 148.535079 100.909991) + (xy 148.542909 100.910967) + (xy 148.542911 100.910968) + (xy 148.542912 100.910967) + (xy 148.542913 100.910968) + (xy 148.581012 100.909392) + (xy 148.595192 100.908805) + (xy 148.600315 100.9087) + (xy 148.817596 100.9087) + (xy 148.843041 100.911339) + (xy 148.846124 100.911985) + (xy 148.853668 100.913567) + (xy 148.885076 100.909651) + (xy 148.900414 100.9087) + (xy 148.900512 100.9087) + (xy 148.900514 100.9087) + (xy 148.921043 100.905273) + (xy 148.926073 100.904541) + (xy 148.978026 100.898066) + (xy 148.978028 100.898064) + (xy 148.985541 100.895828) + (xy 148.993006 100.893266) + (xy 148.993006 100.893265) + (xy 148.99301 100.893265) + (xy 149.032768 100.871749) + (xy 149.039036 100.868357) + (xy 149.043593 100.866012) + (xy 149.058502 100.858722) + (xy 149.090611 100.843026) + (xy 149.090613 100.843023) + (xy 149.096994 100.838468) + (xy 149.10322 100.833621) + (xy 149.103226 100.833619) + (xy 149.138693 100.79509) + (xy 149.142195 100.79144) + (xy 149.226441 100.707194) + (xy 149.287762 100.673711) + (xy 149.357454 100.678695) + (xy 149.38301 100.691774) + (xy 149.39976 100.702966) + (xy 149.399764 100.702967) + (xy 149.472821 100.717499) + (xy 149.472824 100.7175) + (xy 149.472826 100.7175) + (xy 150.522176 100.7175) + (xy 150.522177 100.717499) + (xy 150.59524 100.702966) + (xy 150.678101 100.647601) + (xy 150.733466 100.56474) + (xy 150.747999 100.491678) + (xy 151.787 100.491678) + (xy 151.801532 100.564735) + (xy 151.801533 100.564739) + (xy 151.801534 100.56474) + (xy 151.856899 100.647601) + (xy 151.920374 100.690013) + (xy 151.93976 100.702966) + (xy 151.939764 100.702967) + (xy 152.012821 100.717499) + (xy 152.012824 100.7175) + (xy 152.012826 100.7175) + (xy 153.062176 100.7175) + (xy 153.062177 100.717499) + (xy 153.13524 100.702966) + (xy 153.218101 100.647601) + (xy 153.273466 100.56474) + (xy 153.288 100.491674) + (xy 153.288 97.442326) + (xy 153.288 97.442323) + (xy 153.287999 97.442321) + (xy 153.273467 97.369264) + (xy 153.273466 97.36926) + (xy 153.218101 97.286399) + (xy 153.162735 97.249405) + (xy 153.135239 97.231033) + (xy 153.135235 97.231032) + (xy 153.062177 97.2165) + (xy 153.062174 97.2165) + (xy 152.012826 97.2165) + (xy 152.012823 97.2165) + (xy 151.939764 97.231032) + (xy 151.93976 97.231033) + (xy 151.856899 97.286399) + (xy 151.801533 97.36926) + (xy 151.801532 97.369264) + (xy 151.787 97.442321) + (xy 151.787 100.491678) + (xy 150.747999 100.491678) + (xy 150.748 100.491674) + (xy 150.748 97.442326) + (xy 150.748 97.442323) + (xy 150.747999 97.442321) + (xy 150.733467 97.369264) + (xy 150.733466 97.36926) + (xy 150.678101 97.286399) + (xy 150.622735 97.249405) + (xy 150.595239 97.231033) + (xy 150.595235 97.231032) + (xy 150.522177 97.2165) + (xy 150.522174 97.2165) + (xy 149.472826 97.2165) + (xy 149.472824 97.2165) + (xy 149.445306 97.221973) + (xy 149.375715 97.215744) + (xy 149.330188 97.181692) + (xy 149.329781 97.182135) + (xy 149.325454 97.178152) + (xy 149.32326 97.176511) + (xy 149.32222 97.175175) + (xy 149.322219 97.175174) + (xy 149.283692 97.139707) + (xy 149.280018 97.136181) + (xy 148.646219 96.502381) + (xy 148.612734 96.441058) + (xy 148.6099 96.4147) + (xy 148.6099 95.582391) + (xy 148.612539 95.556946) + (xy 148.613437 95.552662) + (xy 148.614767 95.546319) + (xy 148.610852 95.514909) + (xy 148.6099 95.499572) + (xy 148.6099 95.499476) + (xy 148.6099 95.499473) + (xy 148.606475 95.478956) + (xy 148.605741 95.473912) + (xy 148.599266 95.421963) + (xy 148.599266 95.421961) + (xy 148.599264 95.421957) + (xy 148.597022 95.414427) + (xy 148.594466 95.40698) + (xy 148.594465 95.406978) + (xy 148.594465 95.406977) + (xy 148.582314 95.384523) + (xy 148.56772 95.316199) + (xy 148.592383 95.250827) + (xy 148.648473 95.209166) + (xy 148.718183 95.204444) + (xy 148.779051 95.237829) + (xy 149.02011 95.478888) + (xy 149.036235 95.498744) + (xy 149.038217 95.501777) + (xy 149.042176 95.507836) + (xy 149.067153 95.527276) + (xy 149.078678 95.537456) + (xy 149.078744 95.537522) + (xy 149.095657 95.549597) + (xy 149.099769 95.552662) + (xy 149.105273 95.556946) + (xy 149.141071 95.584809) + (xy 149.141073 95.584809) + (xy 149.14798 95.588547) + (xy 149.155058 95.592008) + (xy 149.155061 95.59201) + (xy 149.205245 95.60695) + (xy 149.210038 95.608485) + (xy 149.2596 95.6255) + (xy 149.259603 95.625501) + (xy 149.267332 95.62679) + (xy 149.27517 95.627767) + (xy 149.275172 95.627768) + (xy 149.275173 95.627767) + (xy 149.275174 95.627768) + (xy 149.313273 95.626192) + (xy 149.327453 95.625605) + (xy 149.332576 95.6255) + (xy 149.372688 95.6255) + (xy 149.439727 95.645185) + (xy 149.46037 95.66182) + (xy 149.508404 95.709855) + (xy 149.508406 95.709856) + (xy 149.508409 95.709859) + (xy 149.618625 95.766017) + (xy 149.618626 95.766017) + (xy 149.618628 95.766018) + (xy 149.653747 95.771579) + (xy 149.710065 95.7805) + (xy 150.111534 95.780499) + (xy 150.111539 95.780499) + (xy 150.111539 95.780498) + (xy 150.157254 95.773258) + (xy 150.20297 95.766018) + (xy 150.202971 95.766018) + (xy 150.202972 95.766017) + (xy 150.202975 95.766017) + (xy 150.313191 95.709859) + (xy 150.347609 95.67544) + (xy 150.396972 95.64519) + (xy 150.401717 95.643649) + (xy 150.420617 95.639112) + (xy 150.442504 95.635646) + (xy 150.462244 95.625586) + (xy 150.480211 95.618144) + (xy 150.50129 95.611296) + (xy 150.501299 95.611289) + (xy 150.502736 95.610558) + (xy 150.504687 95.610191) + (xy 150.510574 95.608279) + (xy 150.51082 95.609039) + (xy 150.571405 95.597657) + (xy 150.636147 95.62393) + (xy 150.676407 95.681033) + (xy 150.682134 95.735985) + (xy 150.673269 95.808999) + (xy 150.673269 95.809) + (xy 150.692309 95.965818) + (xy 150.736169 96.081465) + (xy 150.748327 96.113523) + (xy 150.838064 96.24353) + (xy 150.956307 96.348283) + (xy 150.956309 96.348284) + (xy 151.096181 96.421696) + (xy 151.249561 96.4595) + (xy 151.249562 96.4595) + (xy 151.407531 96.4595) + (xy 151.407532 96.4595) + (xy 151.479254 96.441821) + (xy 151.509411 96.440677) + (xy 151.509411 96.4383) + (xy 151.509573 96.4383) + (xy 151.522479 96.440182) + (xy 151.524964 96.440088) + (xy 151.525063 96.439297) + (xy 151.535256 96.440567) + (xy 151.535258 96.440568) + (xy 151.535259 96.440567) + (xy 151.53526 96.440568) + (xy 151.573359 96.438992) + (xy 151.587539 96.438405) + (xy 151.592662 96.4383) + (xy 154.024596 96.4383) + (xy 154.050041 96.440939) + (xy 154.053124 96.441585) + (xy 154.060668 96.443167) + (xy 154.092076 96.439251) + (xy 154.107414 96.4383) + (xy 154.107512 96.4383) + (xy 154.107514 96.4383) + (xy 154.128043 96.434873) + (xy 154.133073 96.434141) + (xy 154.185026 96.427666) + (xy 154.185028 96.427664) + (xy 154.192541 96.425428) + (xy 154.200006 96.422866) + (xy 154.200006 96.422865) + (xy 154.20001 96.422865) + (xy 154.246036 96.397957) + (xy 154.250593 96.395612) + (xy 154.274987 96.383686) + (xy 154.297611 96.372626) + (xy 154.297613 96.372623) + (xy 154.303994 96.368068) + (xy 154.310219 96.363222) + (xy 154.310226 96.363219) + (xy 154.345691 96.324692) + (xy 154.349192 96.321043) + (xy 154.481049 96.189187) + (xy 154.542373 96.155704) + (xy 154.612064 96.160689) + (xy 154.656411 96.189189) + (xy 154.665681 96.198459) + (xy 154.699166 96.259782) + (xy 154.702 96.28614) + (xy 154.702 97.0925) + (xy 154.682315 97.159539) + (xy 154.629511 97.205294) + (xy 154.578 97.2165) + (xy 154.552823 97.2165) + (xy 154.479764 97.231032) + (xy 154.47976 97.231033) + (xy 154.396899 97.286399) + (xy 154.341533 97.36926) + (xy 154.341532 97.369264) + (xy 154.327 97.442321) + (xy 154.327 100.491678) + (xy 154.341532 100.564735) + (xy 154.341533 100.564739) + (xy 154.341534 100.56474) + (xy 154.396899 100.647601) + (xy 154.460374 100.690013) + (xy 154.47976 100.702966) + (xy 154.479764 100.702967) + (xy 154.552821 100.717499) + (xy 154.552824 100.7175) + (xy 154.552826 100.7175) + (xy 155.602176 100.7175) + (xy 155.602177 100.717499) + (xy 155.67524 100.702966) + (xy 155.758101 100.647601) + (xy 155.813466 100.56474) + (xy 155.828 100.491674) + (xy 155.828 97.442326) + (xy 155.828 97.442323) + (xy 155.827999 97.442321) + (xy 155.813467 97.369264) + (xy 155.813466 97.36926) + (xy 155.758101 97.286399) + (xy 155.702735 97.249405) + (xy 155.675239 97.231033) + (xy 155.675235 97.231032) + (xy 155.602177 97.2165) + (xy 155.602174 97.2165) + (xy 155.577 97.2165) + (xy 155.509961 97.196815) + (xy 155.464206 97.144011) + (xy 155.453 97.0925) + (xy 155.453 96.313675) + (xy 155.472685 96.246636) + (xy 155.497487 96.220592) + (xy 155.497236 96.220341) + (xy 155.5045 96.213076) + (xy 155.504502 96.213076) + (xy 155.595476 96.122102) + (xy 155.595476 96.1221) + (xy 155.595478 96.122099) + (xy 155.625049 96.061609) + (xy 155.651982 96.006518) + (xy 155.6629 95.931582) + (xy 155.6629 95.588418) + (xy 155.651982 95.513482) + (xy 155.638897 95.486717) + (xy 155.595478 95.3979) + (xy 155.595476 95.397897) + (xy 155.53526 95.337681) + (xy 155.501775 95.276358) + (xy 155.506759 95.206666) + (xy 155.53526 95.162319) + (xy 155.595476 95.102102) + (xy 155.595478 95.102099) + (xy 155.63598 95.01925) + (xy 155.651982 94.986518) + (xy 155.6629 94.911582) + (xy 155.6629 94.568418) + (xy 155.651982 94.493482) + (xy 155.636204 94.461207) + (xy 155.595478 94.3779) + (xy 155.595476 94.377897) + (xy 155.579219 94.36164) + (xy 155.545734 94.300317) + (xy 155.5429 94.273959) + (xy 155.5429 92.384061) + (xy 155.54368 92.370176) + (xy 155.54767 92.334766) + (xy 155.545034 92.320836) + (xy 155.537033 92.278553) + (xy 155.536264 92.274019) + (xy 155.527748 92.217515) + (xy 155.527748 92.217513) + (xy 155.527746 92.217509) + (xy 155.525236 92.209371) + (xy 155.522424 92.201334) + (xy 155.522423 92.201328) + (xy 155.495685 92.150741) + (xy 155.493623 92.146654) + (xy 155.468825 92.095158) + (xy 155.468823 92.095156) + (xy 155.468823 92.095155) + (xy 155.464042 92.088142) + (xy 155.458966 92.081265) + (xy 155.458965 92.081262) + (xy 155.418565 92.040862) + (xy 155.415347 92.037521) + (xy 155.37646 91.995609) + (xy 155.369192 91.989814) + (xy 155.369712 91.989161) + (xy 155.357467 91.979764) + (xy 155.106168 91.728465) + (xy 155.096902 91.718097) + (xy 155.088663 91.707766) + (xy 155.074679 91.69023) + (xy 155.074678 91.690229) + (xy 155.074677 91.690228) + (xy 155.04915 91.672824) + (xy 155.027433 91.658018) + (xy 155.023669 91.655347) + (xy 154.977686 91.621409) + (xy 154.970097 91.617398) + (xy 154.962475 91.613728) + (xy 154.907849 91.596879) + (xy 154.903444 91.59543) + (xy 154.849495 91.576552) + (xy 154.841126 91.574968) + (xy 154.832704 91.5737) + (xy 154.832702 91.5737) + (xy 154.775538 91.5737) + (xy 154.770901 91.573613) + (xy 154.768885 91.573537) + (xy 154.713789 91.571475) + (xy 154.704556 91.572516) + (xy 154.704462 91.571686) + (xy 154.689164 91.5737) + (xy 154.3487 91.5737) + (xy 154.281661 91.554015) + (xy 154.235906 91.501211) + (xy 154.2247 91.4497) + (xy 154.2247 91.434278) + (xy 154.238215 91.377983) + (xy 154.264817 91.325775) + (xy 154.266841 91.313) + (xy 154.270295 91.291191) + (xy 154.2793 91.234335) + (xy 154.279299 90.832866) + (xy 154.279299 90.832864) + (xy 154.279299 90.83286) + (xy 154.264818 90.741429) + (xy 154.264817 90.741425) + (xy 154.212815 90.639365) + (xy 154.1993 90.583071) + (xy 154.1993 88.299861) + (xy 154.20008 88.285976) + (xy 154.200556 88.281757) + (xy 154.20407 88.250565) + (xy 154.193432 88.194341) + (xy 154.19267 88.189853) + (xy 154.184148 88.133313) + (xy 154.184147 88.133311) + (xy 154.184147 88.133309) + (xy 154.181629 88.125145) + (xy 154.178823 88.117124) + (xy 154.152106 88.066578) + (xy 154.150013 88.062433) + (xy 154.125225 88.010958) + (xy 154.12042 88.00391) + (xy 154.115367 87.997065) + (xy 154.115366 87.997062) + (xy 154.074952 87.956648) + (xy 154.071735 87.953308) + (xy 154.032857 87.911407) + (xy 154.02559 87.905612) + (xy 154.02611 87.904959) + (xy 154.01387 87.895566) + (xy 153.147768 87.029465) + (xy 153.138502 87.019097) + (xy 153.125068 87.002251) + (xy 153.116279 86.99123) + (xy 153.116278 86.991229) + (xy 153.116277 86.991228) + (xy 153.09075 86.973824) + (xy 153.069033 86.959018) + (xy 153.065269 86.956347) + (xy 153.019286 86.922409) + (xy 153.011697 86.918398) + (xy 153.004075 86.914728) + (xy 152.949449 86.897879) + (xy 152.945044 86.89643) + (xy 152.94146 86.895176) + (xy 152.933456 86.892375) + (xy 152.891095 86.877552) + (xy 152.882726 86.875968) + (xy 152.874304 86.8747) + (xy 152.874302 86.8747) + (xy 152.817138 86.8747) + (xy 152.812501 86.874613) + (xy 152.810485 86.874537) + (xy 152.755389 86.872475) + (xy 152.746156 86.873516) + (xy 152.746062 86.872686) + (xy 152.730764 86.8747) + (xy 146.246528 86.8747) + (xy 146.190235 86.861185) + (xy 146.088175 86.809183) + (xy 146.088174 86.809182) + (xy 146.088171 86.809181) + (xy 145.996735 86.7947) + (xy 145.59526 86.7947) + (xy 145.59526 86.794701) + (xy 145.503829 86.809181) + (xy 145.503828 86.809181) + (xy 145.463417 86.829771) + (xy 145.401764 86.861185) + (xy 145.345472 86.8747) + (xy 142.018262 86.8747) + (xy 142.004379 86.87392) + (xy 141.99937 86.873355) + (xy 141.968963 86.869929) + (xy 141.91281 86.880554) + (xy 141.908241 86.881331) + (xy 141.851715 86.889851) + (xy 141.843532 86.892375) + (xy 141.835529 86.895176) + (xy 141.784985 86.921889) + (xy 141.780848 86.923977) + (xy 141.729358 86.948773) + (xy 141.722338 86.953559) + (xy 141.71546 86.958635) + (xy 141.675058 86.999037) + (xy 141.671722 87.002251) + (xy 141.629804 87.041146) + (xy 141.624013 87.048408) + (xy 141.623362 87.047888) + (xy 141.613967 87.060128) + (xy 140.471063 88.203032) + (xy 140.460698 88.212295) + (xy 140.432833 88.234517) + (xy 140.43283 88.234521) + (xy 140.400623 88.281757) + (xy 140.397944 88.285532) + (xy 140.364006 88.331518) + (xy 140.360016 88.339067) + (xy 140.356328 88.346725) + (xy 140.339473 88.401367) + (xy 140.338025 88.405768) + (xy 140.319153 88.459704) + (xy 140.317571 88.468059) + (xy 140.3163 88.4765) + (xy 140.3163 88.53366) + (xy 140.316213 88.538297) + (xy 140.314075 88.595409) + (xy 140.315116 88.604643) + (xy 140.314285 88.604736) + (xy 140.3163 88.620035) + (xy 140.3163 91.21904) + (xy 140.296615 91.286079) + (xy 140.280953 91.304356) + (xy 140.281291 91.304656) + (xy 140.276315 91.310272) + (xy 140.186581 91.440275) + (xy 140.18658 91.440276) + (xy 140.130562 91.587981) + (xy 140.111522 91.744799) + (xy 140.111522 91.7448) + (xy 136.032 91.7448) + (xy 136.032 84.0715) + (xy 136.051685 84.004461) + (xy 136.104489 83.958706) + (xy 136.156 83.9475) + (xy 158.7525 83.9475) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 158.819539 83.967185) + (xy 158.865294 84.019989) + (xy 158.8765 84.0715) + (xy 158.8765 107.8615) + (xy 158.856815 107.928539) + (xy 158.804011 107.974294) + (xy 158.7525 107.9855) + (xy 136.156 107.9855) + (xy 136.088961 107.965815) + (xy 136.043206 107.913011) + (xy 136.032 107.8615) + (xy 136.032 101.487) + (xy 139.182222 101.487) + (xy 139.201262 101.643818) + (xy 139.25728 101.791523) + (xy 139.347017 101.92153) + (xy 139.46526 102.026283) + (xy 139.465262 102.026284) + (xy 139.605134 102.099696) + (xy 139.758514 102.1375) + (xy 139.758515 102.1375) + (xy 139.916485 102.1375) + (xy 140.069865 102.099696) + (xy 140.20974 102.026283) + (xy 140.274756 101.968683) + (xy 140.33799 101.938963) + (xy 140.356983 101.9375) + (xy 153.394238 101.9375) + (xy 153.40812 101.938279) + (xy 153.414184 101.938963) + (xy 153.443533 101.94227) + (xy 153.443533 101.942269) + (xy 153.443535 101.94227) + (xy 153.499736 101.931635) + (xy 153.504226 101.930872) + (xy 153.560787 101.922348) + (xy 153.560791 101.922345) + (xy 153.568947 101.91983) + (xy 153.576969 101.917024) + (xy 153.57697 101.917023) + (xy 153.576972 101.917023) + (xy 153.627588 101.89027) + (xy 153.631593 101.888248) + (xy 153.683142 101.863425) + (xy 153.683143 101.863423) + (xy 153.683145 101.863423) + (xy 153.690195 101.858616) + (xy 153.697034 101.853567) + (xy 153.697038 101.853566) + (xy 153.737475 101.813127) + (xy 153.740761 101.809961) + (xy 153.782694 101.771055) + (xy 153.782696 101.77105) + (xy 153.788487 101.76379) + (xy 153.789143 101.764313) + (xy 153.798532 101.75207) + (xy 154.103249 101.447353) + (xy 154.113598 101.438105) + (xy 154.14147 101.415879) + (xy 154.173689 101.36862) + (xy 154.176302 101.364936) + (xy 154.210293 101.318883) + (xy 154.210295 101.318874) + (xy 154.214286 101.311324) + (xy 154.217968 101.303678) + (xy 154.217972 101.303673) + (xy 154.234827 101.249022) + (xy 154.236272 101.244635) + (xy 154.255146 101.1907) + (xy 154.255146 101.190696) + (xy 154.256727 101.182341) + (xy 154.258 101.173899) + (xy 154.258 101.116738) + (xy 154.258087 101.1121) + (xy 154.260224 101.054992) + (xy 154.259184 101.045761) + (xy 154.260013 101.045667) + (xy 154.258 101.030366) + (xy 154.258 93.421451) + (xy 154.277685 93.354412) + (xy 154.279933 93.351035) + (xy 154.35442 93.243123) + (xy 154.410437 93.095418) + (xy 154.429478 92.9386) + (xy 154.410437 92.781782) + (xy 154.35442 92.634077) + (xy 154.264683 92.50407) + (xy 154.14644 92.399317) + (xy 154.146438 92.399316) + (xy 154.146437 92.399315) + (xy 154.006565 92.325903) + (xy 153.853186 92.2881) + (xy 153.853185 92.2881) + (xy 153.695215 92.2881) + (xy 153.695214 92.2881) + (xy 153.541834 92.325903) + (xy 153.401962 92.399315) + (xy 153.283716 92.504071) + (xy 153.193981 92.634075) + (xy 153.19398 92.634076) + (xy 153.137962 92.781781) + (xy 153.118922 92.938599) + (xy 153.118922 92.9386) + (xy 153.137962 93.095418) + (xy 153.184347 93.217723) + (xy 153.19398 93.243123) + (xy 153.283717 93.37313) + (xy 153.315227 93.401045) + (xy 153.352353 93.460232) + (xy 153.357 93.49386) + (xy 153.357 100.868035) + (xy 153.337315 100.935074) + (xy 153.320681 100.955716) + (xy 153.276216 101.000181) + (xy 153.214893 101.033666) + (xy 153.188535 101.0365) + (xy 140.356983 101.0365) + (xy 140.289944 101.016815) + (xy 140.274756 101.005316) + (xy 140.26896 101.000181) + (xy 140.20974 100.947717) + (xy 140.209738 100.947716) + (xy 140.209737 100.947715) + (xy 140.069865 100.874303) + (xy 139.916486 100.8365) + (xy 139.916485 100.8365) + (xy 139.758515 100.8365) + (xy 139.758514 100.8365) + (xy 139.605134 100.874303) + (xy 139.465262 100.947715) + (xy 139.46526 100.947717) + (xy 139.353543 101.046689) + (xy 139.347016 101.052471) + (xy 139.257281 101.182475) + (xy 139.25728 101.182476) + (xy 139.201262 101.330181) + (xy 139.182222 101.486999) + (xy 139.182222 101.487) + (xy 136.032 101.487) + (xy 136.032 96.026) + (xy 139.182222 96.026) + (xy 139.201262 96.182818) + (xy 139.243728 96.294789) + (xy 139.25728 96.330523) + (xy 139.347017 96.46053) + (xy 139.46526 96.565283) + (xy 139.465262 96.565284) + (xy 139.605134 96.638696) + (xy 139.758514 96.6765) + (xy 139.758515 96.6765) + (xy 139.916485 96.6765) + (xy 140.069865 96.638696) + (xy 140.20974 96.565283) + (xy 140.327983 96.46053) + (xy 140.41772 96.330523) + (xy 140.473737 96.182818) + (xy 140.492778 96.026) + (xy 140.492778 96.025999) + (xy 140.492778 96.018499) + (xy 140.495874 96.018499) + (xy 140.505037 95.96349) + (xy 140.551957 95.911718) + (xy 140.616657 95.8935) + (xy 144.333974 95.8935) + (xy 144.401013 95.913185) + (xy 144.446768 95.965989) + (xy 144.456712 96.035147) + (xy 144.430424 96.092708) + (xy 144.431278 96.093297) + (xy 144.427961 96.098101) + (xy 144.427687 96.098703) + (xy 144.427027 96.099454) + (xy 144.337281 96.229475) + (xy 144.33728 96.229476) + (xy 144.281262 96.377181) + (xy 144.262222 96.533999) + (xy 144.262222 96.534) + (xy 144.281262 96.690818) + (xy 144.319718 96.792216) + (xy 144.33728 96.838523) + (xy 144.427017 96.96853) + (xy 144.54526 97.073283) + (xy 144.545262 97.073284) + (xy 144.685134 97.146696) + (xy 144.838514 97.1845) + (xy 144.838515 97.1845) + (xy 144.996485 97.1845) + (xy 145.149865 97.146696) + (xy 145.28974 97.073283) + (xy 145.407983 96.96853) + (xy 145.411757 96.963061) + (xy 145.466037 96.919071) + (xy 145.513808 96.9095) + (xy 151.622996 96.9095) + (xy 151.648441 96.912139) + (xy 151.651524 96.912785) + (xy 151.659068 96.914367) + (xy 151.690476 96.910451) + (xy 151.705814 96.9095) + (xy 151.705912 96.9095) + (xy 151.705914 96.9095) + (xy 151.726443 96.906073) + (xy 151.731473 96.905341) + (xy 151.783426 96.898866) + (xy 151.783428 96.898864) + (xy 151.790941 96.896628) + (xy 151.798406 96.894066) + (xy 151.798406 96.894065) + (xy 151.79841 96.894065) + (xy 151.844436 96.869157) + (xy 151.848993 96.866812) + (xy 151.863902 96.859522) + (xy 151.896011 96.843826) + (xy 151.896013 96.843823) + (xy 151.902394 96.839268) + (xy 151.90862 96.834421) + (xy 151.908626 96.834419) + (xy 151.944093 96.79589) + (xy 151.947595 96.79224) + (xy 152.324089 96.415746) + (xy 152.343946 96.399622) + (xy 152.353036 96.393684) + (xy 152.372471 96.368712) + (xy 152.382656 96.357181) + (xy 152.382656 96.35718) + (xy 152.382719 96.357118) + (xy 152.39483 96.340153) + (xy 152.397827 96.336134) + (xy 152.430009 96.294789) + (xy 152.430011 96.294781) + (xy 152.433747 96.287878) + (xy 152.437206 96.280803) + (xy 152.43721 96.280799) + (xy 152.452142 96.230641) + (xy 152.453686 96.225818) + (xy 152.4707 96.17626) + (xy 152.4707 96.176258) + (xy 152.470701 96.176256) + (xy 152.471991 96.168524) + (xy 152.472968 96.160686) + (xy 152.470806 96.108407) + (xy 152.4707 96.103283) + (xy 152.4707 93.505402) + (xy 152.490385 93.438363) + (xy 152.512474 93.412586) + (xy 152.585682 93.347731) + (xy 152.585683 93.34773) + (xy 152.67542 93.217723) + (xy 152.731437 93.070018) + (xy 152.750478 92.9132) + (xy 152.731437 92.756382) + (xy 152.67542 92.608677) + (xy 152.585683 92.47867) + (xy 152.46744 92.373917) + (xy 152.467438 92.373916) + (xy 152.467437 92.373915) + (xy 152.327565 92.300503) + (xy 152.174186 92.2627) + (xy 152.174185 92.2627) + (xy 152.016215 92.2627) + (xy 152.016214 92.2627) + (xy 151.862834 92.300503) + (xy 151.722962 92.373915) + (xy 151.604716 92.478671) + (xy 151.514981 92.608675) + (xy 151.51498 92.608676) + (xy 151.458962 92.756381) + (xy 151.439922 92.913199) + (xy 151.439922 92.9132) + (xy 151.458962 93.070018) + (xy 151.51498 93.217723) + (xy 151.514981 93.217724) + (xy 151.604717 93.347731) + (xy 151.677926 93.412586) + (xy 151.715053 93.471774) + (xy 151.7197 93.505402) + (xy 151.7197 95.077167) + (xy 151.700015 95.144206) + (xy 151.647211 95.189961) + (xy 151.578053 95.199905) + (xy 151.566026 95.197564) + (xy 151.407533 95.1585) + (xy 151.407532 95.1585) + (xy 151.249562 95.1585) + (xy 151.249561 95.1585) + (xy 151.096181 95.196303) + (xy 150.956309 95.269715) + (xy 150.838063 95.37447) + (xy 150.835636 95.377987) + (xy 150.834289 95.379938) + (xy 150.78001 95.423929) + (xy 150.732239 95.4335) + (xy 148.083816 95.4335) + (xy 148.016777 95.413815) + (xy 147.981765 95.379938) + (xy 147.977991 95.37447) + (xy 147.859748 95.269717) + (xy 147.859746 95.269716) + (xy 147.859745 95.269715) + (xy 147.719873 95.196303) + (xy 147.566494 95.1585) + (xy 147.566493 95.1585) + (xy 147.408523 95.1585) + (xy 147.408522 95.1585) + (xy 147.255142 95.196303) + (xy 147.115269 95.269715) + (xy 147.110837 95.272775) + (xy 147.044481 95.294655) + (xy 146.97683 95.277187) + (xy 146.938351 95.241162) + (xy 146.880903 95.157935) + (xy 146.863409 95.13259) + (xy 146.745166 95.027837) + (xy 146.745164 95.027836) + (xy 146.745163 95.027835) + (xy 146.605291 94.954423) + (xy 146.451912 94.91662) + (xy 146.451911 94.91662) + (xy 146.293941 94.91662) + (xy 146.29394 94.91662) + (xy 146.14056 94.954423) + (xy 146.000688 95.027835) + (xy 145.906457 95.111316) + (xy 145.843223 95.141037) + (xy 145.82423 95.1425) + (xy 144.51064 95.1425) + (xy 144.443601 95.122815) + (xy 144.397846 95.070011) + (xy 144.387902 95.000853) + (xy 144.40859 94.94806) + (xy 144.422709 94.927605) + (xy 144.44582 94.894123) + (xy 144.501837 94.746418) + (xy 144.520878 94.5896) + (xy 144.501837 94.432782) + (xy 144.44582 94.285077) + (xy 144.356083 94.15507) + (xy 144.23784 94.050317) + (xy 144.237838 94.050316) + (xy 144.237837 94.050315) + (xy 144.097965 93.976903) + (xy 143.944586 93.9391) + (xy 143.944585 93.9391) + (xy 143.786615 93.9391) + (xy 143.786614 93.9391) + (xy 143.633234 93.976903) + (xy 143.493362 94.050315) + (xy 143.428344 94.107916) + (xy 143.36511 94.137637) + (xy 143.346117 94.1391) + (xy 141.969966 94.1391) + (xy 141.902927 94.119415) + (xy 141.882285 94.102781) + (xy 141.253619 93.474115) + (xy 141.220134 93.412792) + (xy 141.2173 93.386434) + (xy 141.2173 92.270559) + (xy 141.236985 92.20352) + (xy 141.252646 92.185244) + (xy 141.252309 92.184945) + (xy 141.257281 92.179332) + (xy 141.257281 92.179331) + (xy 141.257283 92.17933) + (xy 141.34702 92.049323) + (xy 141.403037 91.901618) + (xy 141.422078 91.7448) + (xy 141.403037 91.587982) + (xy 141.34702 91.440277) + (xy 141.257283 91.31027) + (xy 141.13904 91.205517) + (xy 141.139038 91.205516) + (xy 141.139037 91.205515) + (xy 140.999165 91.132103) + (xy 140.845786 91.0943) + (xy 140.845785 91.0943) + (xy 140.687815 91.0943) + (xy 140.687814 91.0943) + (xy 140.534434 91.132103) + (xy 140.394562 91.205515) + (xy 140.276316 91.310271) + (xy 140.186581 91.440275) + (xy 140.18658 91.440276) + (xy 140.130562 91.587981) + (xy 140.111522 91.744799) + (xy 140.111522 91.7448) + (xy 140.130562 91.901618) + (xy 140.18658 92.049323) + (xy 140.186581 92.049324) + (xy 140.276318 92.179332) + (xy 140.281291 92.184945) + (xy 140.279844 92.186226) + (xy 140.31165 92.23692) + (xy 140.3163 92.270559) + (xy 140.3163 93.592138) + (xy 140.31552 93.606023) + (xy 140.311529 93.641436) + (xy 140.322155 93.697596) + (xy 140.322932 93.702165) + (xy 140.331452 93.758691) + (xy 140.333962 93.766827) + (xy 140.336776 93.77487) + (xy 140.363488 93.825411) + (xy 140.365576 93.829549) + (xy 140.390374 93.881041) + (xy 140.395162 93.888065) + (xy 140.400231 93.894932) + (xy 140.400234 93.894938) + (xy 140.400238 93.894942) + (xy 140.440646 93.93535) + (xy 140.443863 93.938689) + (xy 140.482747 93.980596) + (xy 140.49001 93.986388) + (xy 140.489489 93.987041) + (xy 140.50173 93.996434) + (xy 141.39063 94.885333) + (xy 141.399895 94.8957) + (xy 141.422122 94.923571) + (xy 141.426469 94.927605) + (xy 141.462221 94.987634) + (xy 141.459843 95.057463) + (xy 141.420091 95.114922) + (xy 141.355584 95.141767) + (xy 141.342124 95.1425) + (xy 140.397304 95.1425) + (xy 140.371857 95.139861) + (xy 140.361231 95.137633) + (xy 140.36123 95.137633) + (xy 140.329825 95.141548) + (xy 140.314486 95.1425) + (xy 140.314384 95.1425) + (xy 140.293867 95.145923) + (xy 140.288822 95.146658) + (xy 140.268696 95.149167) + (xy 140.236868 95.153135) + (xy 140.229344 95.155375) + (xy 140.221888 95.157935) + (xy 140.175857 95.182844) + (xy 140.171307 95.185186) + (xy 140.124291 95.208171) + (xy 140.117898 95.212735) + (xy 140.111673 95.21758) + (xy 140.076229 95.256082) + (xy 140.072684 95.259777) + (xy 139.993278 95.339182) + (xy 139.931958 95.372666) + (xy 139.905599 95.3755) + (xy 139.758514 95.3755) + (xy 139.605134 95.413303) + (xy 139.465262 95.486715) + (xy 139.347016 95.591471) + (xy 139.257281 95.721475) + (xy 139.25728 95.721476) + (xy 139.201262 95.869181) + (xy 139.182222 96.025999) + (xy 139.182222 96.026) + (xy 136.032 96.026) + (xy 136.032 84.0715) + (xy 136.051685 84.004461) + (xy 136.104489 83.958706) + (xy 136.156 83.9475) + (xy 158.7525 83.9475) + ) + ) + ) +) diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pro b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pro new file mode 100644 index 0000000..0b1d759 --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_pro @@ -0,0 +1,510 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.0, + "height": 0.62, + "width": 0.56 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.25 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "error", + "silk_overlap": "error", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.0, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "solder_mask_to_copper_clearance": 0.005, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.1524 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + }, + { + "diameter": 0.3, + "drill": 0.7 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "tpm-module-14pin-spi-RevA2.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 6, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_bus_thickness": 12.0, + "default_junction_size": 36.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "default_wire_thickness": 6.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.3, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.3 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "./", + "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "840da4aa-8475-420d-88a6-bfaedb52807e", + "" + ] + ], + "text_variables": {} +} diff --git a/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_sch b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_sch new file mode 100644 index 0000000..0d4fa9d --- /dev/null +++ b/tpm-module-14pin-spi-MZ32-AR0-rev-10-CTM010/Revision A3/tpm-module-14pin-spi-RevA3/tpm-module-14pin-spi-RevA3.kicad_sch @@ -0,0 +1,4034 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 840da4aa-8475-420d-88a6-bfaedb52807e) + + (paper "A4") + + (lib_symbols + (symbol "Connector_Generic:Conn_02x07_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x07_Odd_Even" (at 1.27 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x07, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x07_Odd_Even_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 8.89) (end 3.81 -8.89) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:VDD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"VDD\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDD_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDD_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "tpm-chips:Infineon-SLB9670" (in_bom yes) (on_board yes) + (property "Reference" "IC1" (at 0 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Infineon-SLB9670" (at 0 -19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm" (at 1.27 19.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "SLB9670VQ20FW785XTMA1" (at 0 16.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Infineon-SLB9670_0_1" + (rectangle (start -8.89 12.7) (end 8.89 -17.78) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "Infineon-SLB9670_1_0" + (pin power_in line (at 11.43 10.16 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 5.08 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -15.24 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Infineon-SLB9670_1_1" + (pin input inverted (at -11.43 -2.54 0) (length 2.54) + (name "RESET#" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at -11.43 0 0) (length 2.54) + (name "PIRQ#" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -11.43 10.16 0) (length 2.54) + (name "SCLK" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -2.54 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at -11.43 7.62 0) (length 2.54) + (name "TPM_CS#" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 2.54 0) (length 2.54) + (name "MOSI" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 2.54 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -11.43 5.08 0) (length 2.54) + (name "MISO" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -12.7 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -7.62 0) (length 2.54) + (name "GPIO" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -10.16 0) (length 2.54) + (name "PP" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 7.62 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -5.08 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 186.69 97.79) (diameter 0) (color 0 0 0 0) + (uuid 1b441a33-bf0d-40a0-bff6-4c05df8e352f) + ) + (junction (at 46.99 105.41) (diameter 0.9144) (color 0 0 0 0) + (uuid 29182347-4b33-4c43-b42c-1fab24dee4cd) + ) + (junction (at 62.23 115.57) (diameter 0.9144) (color 0 0 0 0) + (uuid 4780dbbc-e786-43a4-af46-61d6c1a0e4a7) + ) + (junction (at 93.98 125.73) (diameter 0) (color 0 0 0 0) + (uuid 4d8e3c59-970a-4943-a756-3c456f41d5d3) + ) + (junction (at 93.98 128.27) (diameter 0) (color 0 0 0 0) + (uuid 5485777d-5397-4afb-a15c-0f5846910296) + ) + (junction (at 93.98 123.19) (diameter 0.9144) (color 0 0 0 0) + (uuid 548a09d4-f12e-4c45-b205-bdb1e7c54d36) + ) + (junction (at 100.33 102.87) (diameter 0.9144) (color 0 0 0 0) + (uuid 6324513f-1a61-4eb3-be19-de95fbeefc62) + ) + (junction (at 93.98 118.11) (diameter 0.9144) (color 0 0 0 0) + (uuid 6f8c8fa2-a86b-4ddb-8cee-40e3f4d1e498) + ) + (junction (at 54.61 113.03) (diameter 0.9144) (color 0 0 0 0) + (uuid 7788d754-791d-4c5d-9091-2762fd6165a9) + ) + (junction (at 93.98 102.87) (diameter 0.9144) (color 0 0 0 0) + (uuid a56d53e0-8434-413e-a281-b6f11cfc233b) + ) + (junction (at 111.76 102.87) (diameter 0.9144) (color 0 0 0 0) + (uuid ba06e7a9-5a81-4c61-ab6f-8231574f2824) + ) + (junction (at 93.98 105.41) (diameter 0) (color 0 0 0 0) + (uuid e277b311-e8df-460e-9a58-8e046618ecbd) + ) + (junction (at 93.98 107.95) (diameter 0) (color 0 0 0 0) + (uuid efac0626-7bc0-4611-ae76-82863f58ed01) + ) + (junction (at 93.98 120.65) (diameter 0.9144) (color 0 0 0 0) + (uuid f3115c5a-bd7d-454a-b1fe-17b7485b411b) + ) + + (no_connect (at 166.37 92.71) (uuid 0a3e9709-d335-498e-a7cc-c58167072171)) + (no_connect (at 166.37 95.25) (uuid 271b7e62-8a68-4ca3-bb6e-fb23c72c8e85)) + (no_connect (at 68.58 120.65) (uuid 2c7fbc04-cf3f-4dab-9d43-108d105ba22b)) + (no_connect (at 68.58 123.19) (uuid 2c7fbc04-cf3f-4dab-9d43-108d105ba22c)) + (no_connect (at 179.07 85.09) (uuid 4e015fd9-5984-4990-99c7-f5c1c500cbd1)) + (no_connect (at 179.07 90.17) (uuid 869e3986-4d9e-4dec-8eeb-5d47abe3c07b)) + (no_connect (at 179.07 92.71) (uuid cbe35bac-a2ec-40d7-89a1-f868887dc5e4)) + + (wire (pts (xy 91.44 128.27) (xy 93.98 128.27)) + (stroke (width 0) (type default)) + (uuid 078b860a-c177-4667-bde9-2e7175144895) + ) + (wire (pts (xy 62.23 93.98) (xy 62.23 115.57)) + (stroke (width 0) (type solid)) + (uuid 0921cde6-1f6b-4abe-889e-050e5f441dd5) + ) + (wire (pts (xy 91.44 123.19) (xy 93.98 123.19)) + (stroke (width 0) (type solid)) + (uuid 0f90395e-e23e-47e2-8166-4dd86d6e811f) + ) + (wire (pts (xy 158.75 97.79) (xy 166.37 97.79)) + (stroke (width 0) (type default)) + (uuid 159598d3-e09a-4e89-9e02-f228efea7891) + ) + (wire (pts (xy 158.75 90.17) (xy 166.37 90.17)) + (stroke (width 0) (type default)) + (uuid 1742059d-5fdc-45b7-9c86-16da302870b3) + ) + (wire (pts (xy 123.19 113.03) (xy 123.19 114.3)) + (stroke (width 0) (type solid)) + (uuid 2b6d83d7-2d8e-4db3-97f2-b3900558103c) + ) + (wire (pts (xy 111.76 113.03) (xy 111.76 114.3)) + (stroke (width 0) (type solid)) + (uuid 3040b307-f21e-49f0-851a-dfaba710c8ca) + ) + (wire (pts (xy 186.69 95.25) (xy 186.69 97.79)) + (stroke (width 0) (type default)) + (uuid 33ba22f2-d772-4f61-b933-d7d9e421211a) + ) + (wire (pts (xy 91.44 118.11) (xy 93.98 118.11)) + (stroke (width 0) (type solid)) + (uuid 34757d3e-e560-43d9-af7f-188faba1740e) + ) + (wire (pts (xy 158.75 87.63) (xy 166.37 87.63)) + (stroke (width 0) (type default)) + (uuid 36287e7f-ee1a-4a03-984d-83e1f8e869c7) + ) + (wire (pts (xy 91.44 107.95) (xy 93.98 107.95)) + (stroke (width 0) (type default)) + (uuid 37d442ea-f6c6-4595-bc7f-1ec7ef487865) + ) + (wire (pts (xy 93.98 125.73) (xy 93.98 128.27)) + (stroke (width 0) (type solid)) + (uuid 39196b60-9cdb-4eb1-b206-9fd0f4a098ca) + ) + (wire (pts (xy 179.07 87.63) (xy 186.69 87.63)) + (stroke (width 0) (type default)) + (uuid 3ac71766-0642-401d-8bb4-07ec907f4d54) + ) + (wire (pts (xy 91.44 115.57) (xy 93.98 115.57)) + (stroke (width 0) (type solid)) + (uuid 40501ba0-2c22-4f36-b4e5-1031be035e42) + ) + (wire (pts (xy 91.44 105.41) (xy 93.98 105.41)) + (stroke (width 0) (type solid)) + (uuid 47665472-b5bf-4fd9-be61-5c69d7eae56e) + ) + (wire (pts (xy 93.98 102.87) (xy 93.98 105.41)) + (stroke (width 0) (type solid)) + (uuid 47665472-b5bf-4fd9-be61-5c69d7eae56f) + ) + (wire (pts (xy 41.91 110.49) (xy 68.58 110.49)) + (stroke (width 0) (type solid)) + (uuid 4846f0ae-c275-456d-a127-68a83ee4173b) + ) + (wire (pts (xy 91.44 125.73) (xy 93.98 125.73)) + (stroke (width 0) (type default)) + (uuid 48acf733-4f41-4883-abfe-a12b8a10792b) + ) + (wire (pts (xy 91.44 102.87) (xy 93.98 102.87)) + (stroke (width 0) (type solid)) + (uuid 5006326a-46c6-49f3-b2c2-d2b6cd3dc0f4) + ) + (wire (pts (xy 93.98 102.87) (xy 100.33 102.87)) + (stroke (width 0) (type solid)) + (uuid 5006326a-46c6-49f3-b2c2-d2b6cd3dc0f5) + ) + (wire (pts (xy 100.33 102.87) (xy 111.76 102.87)) + (stroke (width 0) (type solid)) + (uuid 5006326a-46c6-49f3-b2c2-d2b6cd3dc0f6) + ) + (wire (pts (xy 111.76 102.87) (xy 123.19 102.87)) + (stroke (width 0) (type solid)) + (uuid 5006326a-46c6-49f3-b2c2-d2b6cd3dc0f7) + ) + (wire (pts (xy 123.19 102.87) (xy 123.19 105.41)) + (stroke (width 0) (type solid)) + (uuid 5006326a-46c6-49f3-b2c2-d2b6cd3dc0f8) + ) + (wire (pts (xy 46.99 93.98) (xy 46.99 105.41)) + (stroke (width 0) (type solid)) + (uuid 57b44465-c2e6-4fb6-849d-8bd22e0d8e22) + ) + (wire (pts (xy 54.61 93.98) (xy 54.61 113.03)) + (stroke (width 0) (type solid)) + (uuid 618a50c6-fbe1-4f13-93af-44e935034dda) + ) + (wire (pts (xy 41.91 107.95) (xy 68.58 107.95)) + (stroke (width 0) (type solid)) + (uuid 62dac691-0b9e-4134-b761-30f03fadf66b) + ) + (wire (pts (xy 91.44 110.49) (xy 93.98 110.49)) + (stroke (width 0) (type default)) + (uuid 77a19382-5b36-4cb3-8fe7-c87e6680ec2b) + ) + (wire (pts (xy 91.44 120.65) (xy 93.98 120.65)) + (stroke (width 0) (type solid)) + (uuid 79464f64-46bb-4cdc-88a3-5c3b46282504) + ) + (wire (pts (xy 93.98 107.95) (xy 93.98 105.41)) + (stroke (width 0) (type default)) + (uuid 7da0acad-de63-4847-acf9-1738f3c093d4) + ) + (wire (pts (xy 54.61 113.03) (xy 68.58 113.03)) + (stroke (width 0) (type solid)) + (uuid 904cd9e1-a8f8-4e3f-94ac-a33663f351eb) + ) + (wire (pts (xy 62.23 83.82) (xy 62.23 86.36)) + (stroke (width 0) (type solid)) + (uuid 90a407a2-6c37-402d-bcaa-9a80897c4cae) + ) + (wire (pts (xy 158.75 85.09) (xy 166.37 85.09)) + (stroke (width 0) (type default)) + (uuid 91e94738-34a4-4df3-9125-b6a464cac01f) + ) + (wire (pts (xy 41.91 113.03) (xy 54.61 113.03)) + (stroke (width 0) (type solid)) + (uuid 96158bf0-a76a-4264-9a69-dded5cccfbea) + ) + (wire (pts (xy 158.75 82.55) (xy 166.37 82.55)) + (stroke (width 0) (type default)) + (uuid a67ca6cd-2808-48aa-a15b-c4a303e834c8) + ) + (wire (pts (xy 186.69 97.79) (xy 186.69 101.6)) + (stroke (width 0) (type default)) + (uuid a7a596ba-f140-4096-85de-10502ff6b43a) + ) + (wire (pts (xy 93.98 97.79) (xy 93.98 102.87)) + (stroke (width 0) (type solid)) + (uuid a8ea8b40-25f7-4acc-9f4f-e40553257455) + ) + (wire (pts (xy 41.91 102.87) (xy 68.58 102.87)) + (stroke (width 0) (type solid)) + (uuid aceab6f0-f32a-4015-bfd7-8e13052a752f) + ) + (wire (pts (xy 179.07 95.25) (xy 186.69 95.25)) + (stroke (width 0) (type default)) + (uuid adeef9d9-166c-4f11-9f9a-75e9659a31c1) + ) + (wire (pts (xy 54.61 83.82) (xy 54.61 86.36)) + (stroke (width 0) (type solid)) + (uuid b303263a-b379-4ae8-b766-7007009a0519) + ) + (wire (pts (xy 93.98 115.57) (xy 93.98 118.11)) + (stroke (width 0) (type solid)) + (uuid b4ca6707-c641-442c-8d67-ee143a7dc716) + ) + (wire (pts (xy 93.98 118.11) (xy 93.98 120.65)) + (stroke (width 0) (type solid)) + (uuid b4ca6707-c641-442c-8d67-ee143a7dc717) + ) + (wire (pts (xy 93.98 120.65) (xy 93.98 123.19)) + (stroke (width 0) (type solid)) + (uuid b4ca6707-c641-442c-8d67-ee143a7dc718) + ) + (wire (pts (xy 93.98 123.19) (xy 93.98 125.73)) + (stroke (width 0) (type solid)) + (uuid b4ca6707-c641-442c-8d67-ee143a7dc719) + ) + (wire (pts (xy 62.23 115.57) (xy 68.58 115.57)) + (stroke (width 0) (type solid)) + (uuid bfc48495-94f7-44af-b233-73ef6dd1d752) + ) + (wire (pts (xy 111.76 102.87) (xy 111.76 105.41)) + (stroke (width 0) (type solid)) + (uuid d6eb48b0-2247-4580-94fe-51e67766015d) + ) + (wire (pts (xy 179.07 82.55) (xy 186.69 82.55)) + (stroke (width 0) (type default)) + (uuid d7ed005a-c2e4-4f72-91de-f033621e8d72) + ) + (wire (pts (xy 100.33 102.87) (xy 100.33 105.41)) + (stroke (width 0) (type solid)) + (uuid db416b70-939d-4726-b530-605566d767ee) + ) + (wire (pts (xy 41.91 105.41) (xy 46.99 105.41)) + (stroke (width 0) (type solid)) + (uuid dd1cdf67-e3eb-4d39-a726-c9f7e6a9886e) + ) + (wire (pts (xy 46.99 105.41) (xy 68.58 105.41)) + (stroke (width 0) (type solid)) + (uuid dd1cdf67-e3eb-4d39-a726-c9f7e6a9886f) + ) + (wire (pts (xy 93.98 110.49) (xy 93.98 107.95)) + (stroke (width 0) (type default)) + (uuid deb7c857-29ef-4b45-91ce-135634eecdca) + ) + (wire (pts (xy 93.98 128.27) (xy 93.98 130.81)) + (stroke (width 0) (type solid)) + (uuid e5fedcd3-8c96-414f-9971-cc2f45ef69d8) + ) + (wire (pts (xy 46.99 83.82) (xy 46.99 86.36)) + (stroke (width 0) (type solid)) + (uuid e79f578f-fc9b-4111-a31f-c3b874ed6a72) + ) + (wire (pts (xy 179.07 97.79) (xy 186.69 97.79)) + (stroke (width 0) (type default)) + (uuid f658d938-7967-43be-b68e-1eb542509e22) + ) + (wire (pts (xy 41.91 115.57) (xy 62.23 115.57)) + (stroke (width 0) (type solid)) + (uuid f6966dc7-3b85-4ba5-823d-9b26fcdfd64f) + ) + (wire (pts (xy 100.33 113.03) (xy 100.33 114.3)) + (stroke (width 0) (type solid)) + (uuid fca626de-6199-40f7-aa30-fceba8f3b7e8) + ) + + (image (at 228.6 128.27) + (uuid 63742e16-9e17-44ce-9ff3-0a933f1c7307) + (data + iVBORw0KGgoAAAANSUhEUgAAA24AAAJECAIAAADhc+DUAAAAA3NCSVQICAjb4U/gAAAgAElEQVR4 + nOydd1wUxxfAB44OUhQU6x0cVamaCAqComLsPXaNRk2MPWqMSX6WJPaSWGOJvUcBI/aCSFNRKYKi + WClK753j7n5/LG7WvbvZvb2Dw+R9P/yxOzs78+a9N3OP2dlZLalUigAAAAAAAABAebQ1LQAAAAAA + AADwsQKhJAAAAAAAAMARCCUBAAAAAAAAjkAoCQAAAAAAAHAEQkkAAAAAAACAIxBKAgAAAAAAAByB + UBIAAAAAAADgCISSAAAAAAAAAEcglAQAAAAAAAA4AqEkAAAAAAAAwBEIJQEAAAAAAACOQCgJAAAA + AAAAcARCSQAAAAAAAIAjEEoCAAAAAAAAHIFQEgAAAAAAAOCITiPU8fbt28yMDFNTU+eOHVnekpmR + ceHChU6dOvXw81O2upSUlMfJyaUlJeYWFp07dxHYCKhXc7KzCwsLyVMtbW09PT0LcwuL5hY0mUtL + ShBCNra2BgYG+BppZcpibmHRunVrllVnZWUVFxURx81MTdu1a0deqqioSE9LI0/x+qytrT104KBF + c4vRn3/OUkJMhiZC6rNUsbjO0NCIZlYClhoms9nZ2+vq6qoiz5PHjx8+eKjN0+7YsaO7h4e2tob/ + N1OX8zCCN4Rc1Kh2hFBWVlbs3XuFhQVm5uZdvbyoLf0vo8rICQAAwI3GCCUXzJ0XHxfX1cvrxOlT + 1PR9e/Zu27qVlrlbN++9+/dbNG++Y+s2QyPDiKgoQyMjlhVlZmYuWrDw4YMH1MTAfv3WrF9nbm5e + X+nevYcOHJS9197BYcWqld7duhGnG9auu3jhAkIo9NJFxh/dP3b9cezIEUyG0WPGrF2/jmXV237f + eub0aeK4Y6dO5y9eIHOeCw5e8b/l5OmLN68xlf516tSGdeumTpvGXkJMBtW5fu1au3btVIxgxo8d + W1xU5O7hEXQuRPYqSw2T2giPilQqBKE1YfeuXZs2bCSvJj15zN5XGwh1OQ8jeEPIhbPaaRQXFa1c + vuJCaCiZoqWlNXT4sJ9/+dXIWMP6VwW1dBBuIycAAIAqNPgkysULF+Lj4uReevbsaVVlJe2vuroG + IWRsbNwzoFdRYdGxo8dYVlRVWTlt8hRaHIkQunb16lfTZ0gkEvztz1NTp0+dlpyUxLI6NYKp+tmz + p9XV1eRpQkICyzJFItHuP/5ACA0aMlgtQqpCVWXlj8uWzZr5VWlpqUYEUN24sk2orKj8fctvZIZW + 1tZN7Zebs/M0ZcrKyiaOG0+NIxFCUqn0XHDIlEmT6urqNCWYKqixg3AYOQEAAFSkYUPJB/cffP/d + UkVXX718ibm332efIYQOHjjAGAUShISEvHr1CiHUytp6zry5y1euHDp8GPHA8eGDBzeuX6fld3Vz + 7eHn1617dwdHByKlurp662+/s6mLhr29XQ8/P+LP3qG+NKFQSCaSVShbtbhOnPTonwAoIS6epUiX + Ll7Mzspu2aqVm7s7BwnVy9EjR0+fPMWcT32o0bgEsk14+fIlEbj0CgiIuXf36InjqgjcEHB2nqbM + +rVrnz59ihDS1dUdMnTo17NmdfnkE+JSfFzcsSNHNSodR9TbQZQdOQEAAFSkoR5wi+vER48c2bBu + XW1traI8r16+QggNGzF87rz5ZKKhYf3CRD9/f21t7dycnNh798hHkxhioqOJgxOnTvEFfIQQQlNa + tWq1d/cehFD4rVuB/fpR8y/+bqmPrw9xfP3atVkzv0II3Q4Pr6qqMjQ0ZN9ShNCESZMmTJpEHP91 + 6vQP33+PEBo7YTzxcFkWllXr6enV1tYmJiR82vVThFBJScmbN2/IdLxIoX+fRwj17NVTS0uLg4Tq + RSJt7J80NRqXQLYJFRXlxIGXt3fLVq1aqiBtQ6CK8zRZMjMyiJDL0NDwyPFjnp07E+nr167dt2cv + QujY0SNfTJuqSRE5od4OouzICQAAoCINFUoeP3bs159/RgiZm5uXlZeJ68S0DLm5ueXl5QghFxfX + 95HfB5iZmXVy6ZT0KOlCaCibAbG0pBQhpK2tbWZmSiaOGDny+tVrxiYmzZqZKr4V9Q0MdHJyevr0 + qUQiyc7OtrGxYdFE9YCp2snZ6VHio4T4+smkR4mJUqkUIeTcsWMi9mFlcVFRVGQkQsjXtwd7Sa5d + vZqelt6iRYveffts2bS5oCB/8JAhOjq6xOTx5C+m6OnpIYRqa2uPHDqMEHJwdPDz9yfuffv27ZnT + p5+mPJUiaevWbfoGBpKR3LWrVx/E3ieOL4ZeSHqUNHHyJPJNptvh4RdCQ4uLijvwO4weM8bJyYkm + 1dUrVy5fvFRbW9s3MHD4yBHsm0PC0rg1NTVBZ88mxicUFBSYmZl5dvYcOWoU8cxatgnmFuakXe7f + j0UIeXl7u7q5EinFxcVnTv+VnJRUW1vr5Ow0esyYNm3a4PUc2K/f3yHn8vLyBDaC3n36nD1zJjzs + loGBwdjx4z7t2hUh9Pe5c9euXNXT0xs6fFjPXr0YW62U8+AFJsAbIuxmGBs/kQujD5AEBwUTrZj6 + 5ZdkHIkQWrzku6AzZ0tKS1q1sq6oqDA2NmbTrqjIyKcpTw2NDCdMnBgfF/fXqdNFRUVu7m5Tpk4l + S2CTh2UrXjx/fuavM69fvTQ3t/Ds0nn055/r6Oggpg7CzZeUGjkBAABUpMFmJSVihFDr1q0PHT0y + bMjQqrpKWgby6baxsdHxY8eqq6q6+/o6OztT83h27pz0KCkqMopNjW3btUMISSSSGV9OX/bjD527 + dEEI2dnbX78VxuZ2k2YmxEFtTWPP2Siq2tXN/VHio/j30UB8XDxCyNTUlM/n40PJu3fvEs9ePbt0 + xmSjceb0X7fCwpydna9cuXzz+g2EUFlpmZmZGfH60edjxxAhQnV19bo1axBCI0aOJEKE+7GxX06d + Wlnxj4mPHTkycfLklT+vIooNv3WLSD9x/DhCaOSoUcQv5drVa/bv20e56+ia9etGjhpFpqxcvoJ8 + W+ja1at379xh3xwqjMYtKSkZPXwEsUCC4O9z544fOx4UEmJkbCTbBFtbWzLzzes3bl6/8f0PPxCh + ZEJ8/IwvvywqrH+N+vq1a/v//HPr9u29AgKIFLl6DuzX7+CBA8lJSb379vn73LnLFy8RmS+Ehu7e + t/fmjRunTpwkUkLPn1+3ccOo0aPxTWbvPIwCIxaG+DskhNFP5MLoA1Ri790jDgYN/mAFME+Ht//Q + IYGNoFmzZuzbdfHCxTOnT1taWurp6f34/TLicfCN69evXb12JjiIeMecTR42rTh75syPy5aR/1EH + BwUdOnDw+KmTlpaWmA7C2ZeUGjkBAABUpKHWSurp6U2Z+kXopYtCOzu5GV6+DyWXLf1+xU//W7t6 + zZABAzes++AlYqFQiBDKzMgg9zfBMHDQQOIgPi7u85Gjevr2WP3zL+SsDJ6srCxyVVkr61ZsblEX + mKqJ0CQnOzsnOxshREQArm6uxDNrDMmPkhBChoaGHPb3efXqFfGbhBCiBhMYflr2Q2VFpa6ubg8/ + vz59+xIzeceOHLkfG4u56+b1G8SvL0+HxxfwtbW1xWLx/374Me1N/YY1N65fJ8OXVtbWRsZGQWfP + svEEGmyMu2PbdiI0NDc3d3B0IKaLnqemnj1zRqm6CgsLp0/757efsFRlReW82XMy0tOpORXpOSL8 + 9uWLl1q2akXsOSAWi+fNmXvqxMlmzZq1bFn/FP23zVsYJWHpPGwEVpchZGH0ARrEKkkdHR2hnVC2 + vdQ4kr0hSktLl//4k76BATldnZyUdOnCRfZ5GFuR8uQJGUeampoSofbLFy9WrViBUY4qvqTUyAkA + AKAiDRVKjp8w4X8rVphbWCjKIPvOjVQq3bt7z98h58iUDvz6B9/JycmMNfr26DHgfTSJEMrMzDx4 + 4MCo4SNGDR/x4vlz2fy3wm6eOH782JEjWzZtHj1iRE1NDULI1c2V3Dao4WBZtZubO3FAzC0lJiYi + hFzfJ2Ig1NWB34GDbDU1NW3atNmzb9+P//upd5/ejPlzc3KI/woWLVly8Mjh3fv2/nX2DI/Hs3dw + ePfuHUJo1a+/kCsy123ccOnqVVMzU4TQrh07EEIGBgYhf/99Mzz88LGj2tratbW1hw/V7+ZDPB5F + CC349tvou3fu3b//yaefsGyFssaNuH0bIeTs7Bx1986lq1dP/lW/n87Tpylym3Do2NGNmzcTKd/M + mXMzPPzzsWMQQju2bSN+vP38/e/cj419+HDgoEEIoaqqqi2bNlNrVKRnkUg0ctSoyJjo29FRrayt + EUJVlZWenTvHxN6LiIkmdorJyc7OysrCa4Cl87ARWBVD4GH0ASoSiaSkuBghZG5uzuPx8CWzN0Rt + ba2t0DYyOur6rbBFSxYTiXEfbjqBz8PYin179hJx5NJlyx4mJsTcu0v8g3318pXc3FxFHUQVX1Jq + 5AQAAFCRhnrAzThzRrxzY2ho+P2PP3z6adeLF0J3bt+BENq29fehw4cRechJtax379hUuuX33/l8 + wcH9+z/YAyU+fuzoz0PO/92+wwehldwNCGfNns2mIhVhWXW7du0smlsUFRYlxMc7OTkTvytu7m6M + 2iDiDGtrjluOz10wv3ffPiwz14nrn9mdPXOmfYf2/j17OnfsGP/oEbnDX5s2bVpYWRLH7du3J16p + zs/PJ4KbAQMHduzUCSHUrXv3Hn5+t8PDw26GLV+5UlwnfnD/PkLIurX1rNnfIIQMjYyW/fTTyKHD + 2EilrHGv3rie9iZNLBETDxbd3N11dXVFIhGxOYvcJrRsVT/BadHcgljsK5FIiJkqI2Oj37ZtNTMz + Qwht2Lwp9t69vLy8K5cvr6laR33pR5Ge5y6Yz+PxjI2NXV1diTnFGV/NJG709vZOefIEIVRcXIyf + cmbjPGwE1tPVU8UQGBh9gJa/uqqaWCjJuL25sob4Zs4c4p/ekaNGbd64CSFUWFhAK1NRHjatuB0e + jhCysbGZ8dVMhJC5hcXsuXMiIyI8PDz0dHVbtmwp610q+pKyIycAAIAqaOzjHNt37TwTHHTqzF8T + Jk50cHRYuGiRl7c3QijtTdrr1/WbJ5Mb9ZWUsNpuTUdHZ9GSxXcf3F+3cYN/z57EY0qEUHFx8Yb1 + 6/H38nR43//wA+0t78YBU7WrqxtCKDEhgVzf5sZiVpL4Tg/n7Zo7d1ZihWXr1q2JoOrF8+ezv571 + iYfnVzNm3A4PF4vpL1pRefb0GXEgFovDboYRfzq6OgihzIyMyorKrOws4kVjd3cPcgrKxcWFp8Mw + HSUXNsblC/hWVlZXr1xZ8b/lfj6+IpEIIST7uhiG3Jzc/Px8hFC37t2J336EkL6+fkCf3gghkUj0 + NCWFml+unvX09Mjtu41N6l/sIPdvMn1fbG1NDaM8jM7DRmD1GoIKow/Q8hsYGhD/oFbXVCMsyhrC + 3r5evc1btCAOaqrpVSjKw9iKvLy8kpIShFAnFxeytCFDh27cvHnCpEmKntuo6EvKjpwAAACq0Bhf + u5GLiYmJ54fD36ddP7139y5CKO3NG2JNkpFh/YBYVqbEgGhiYjJq9OhRo0cXFxf/uWcvsVN32I2b + tbW1xColgqHDhrVt147H0zYwMGzdpnXXrl7Wra1Vbxcb2Fft5u4Wcft2clLyQ/sHCCErKys2QhJz + aaT2lKXF+x9LudA2q9PS0lqxauW8OXOIqKu6upp4DaVjp05/HjxALu+jQTypRAj9fe7c3+fO0a4W + FhWSkUTz5s3JdB6P16yZKZvlX8oat7y8/OcVK8///Tdtj2vGyXUqBQX5xAFtPrh16/pXbgsLPvh2 + pVw9G1H2Oedp14dr5CpA8sOMxPwcHkbnYSOwsXH9G0vKGoJxU0NGH6D9L6StrW1mZlZcXFxcVFxT + U6Ovr0+9+vbtW2trayLYVdYQZMhO/vMpq11FeRhbQW69RJbABhV9idvICQAAwA2NhZKymJjU/17W + vJ9xEYnqR2HG74i8ef1m/dq179698/L2/uGnH4lEc3PzxUu/u3fvXnxcXE1NTV5eXtu2bclbRowa + RW5Y08iwr5qYWKqqqgo9fx4h5OruxuYufX39mpoaYl6NAwbydl4kIwPZYvt99tnfFy7s27Pn2pWr + VVVVROKTx4/XrV6zZav8XcHJOS2hnZ3svjPa2tq6evUPMWs+nH6rrKhg0wRljTt/zlziKaRQKBww + aGBA7z7jx46tqqxU6pvaZHBTVfnBjBq5A2Uz02bUdLl6RvKCVzKmVApG52EjsLKGwPgJDUYfkL3F + uWPHOzExUqn0yePHtH9El3y7KC0tbcTIkbO++UZZQ7BRr6I8zJ78PvSsYTGRTKKiL7EfOQEAAFRH + M6FkdXX13t27MzMy+QLB7LlziMTc3FzioNX7VWhl5fXjprkZw6swBgYG169dQwgVFRZ+9/1ScuYA + USdyPsJvP5A//2VlZYjd022EkJm5eWlpKXELB6jaI59pkpMrcueinJycNm7e/Ovq1Xdi7ly/di3o + 7FmJRBIREaGoCisrK+JgwMAB8xculM1Q/t70GRkZZGJOdnZDbK+dnpZGxJH9Bw7Yun074TCyjzgZ + ad2mjba2tkQief48lZr+4vkL4qDthx+epuq5IWB0HjYCk09X8YZg6SdUGH1Alm7du92JiUEIBZ8N + ooaSGenpD+7fl0gkB/fvnzNvrrKGUAXGVlRXV/N4PLFYnJ72z2vXcQ8f/nXqtKu7W0Dv3nLXvKro + S+xHTgAAANXRzFpJfX39A3/uDw4KOnLoUEVFBUJIXCcmYkEjYyPiNVWEUPn7YKiFJe6RK0LIurW1 + wEaAEHr37t2mDRvIx383rl8nvgBuZGxkrfzOOBqnZcuWxGu8BG7sZiWJZ5Gcn21poX8mxsh9mN9m + ZhIH0VHR1Mx3YmImjZ/Qxd3jQmiooZFRQJ/eazesJ77WSJqPnNEhZ6qcnZ2JlyfCbv6z6+e4z8d8 + MWky8T6siYkJYdD4uLjM90HM6VOnubUIT0ZGfdPc3T2IOPJ5aioxu0au+JRtgizGxsaE61K39EtJ + SSHiVCcnJ+qkOPpQzw0Bo/OwEZilIRj9RBZGH5Bl5KhRxCzg6VOnyK0eCgsLv12wkLBXn7599fX1 + lTWEKjC2wsDAoGOnjgihxMQEci/SoLNnz545s+Kn/xGbS8h6l4q+xH7kBAAAUB3NzEpqaWkF9usX + HBRUUFAwecLEkaNHXb18mdgsbfiIkeTDHXIiBPMBDJIxY8etX7sWIfTn3n23boY5ODlmvcsi95Uc + OHBQQ08CNRDka7zo/SNLRpycnRITEtLTM5izMkGEEQihn1eunL9w4evXrzdv2EjN0KZNW2KiaP3a + dRYWFja2tgnx8cQ7AS6u9V9/IVeJ/bl3762wsNlz5zZv3jywX7+LFy48Tk5esmjR52PG3Lxxg9iH + 0vL926yDBg/esW17XV3dlEmTps+c+TYjc9/evaq3SBZyBeFfp0716h1QU139w/fLiBTyeb1sE+QW + NXHSxGVLv0cIfT1jxviJEw0NDY4fO05EOVM08UE/RudhIzAbQzD6iSyGRkaMPkCjlbX19Bkz9vyx + WyKRLFq4cN+ePZZWVo8SE4nFwdra2jO//op9u9QCm1aMGDkq6VGSuE48cdz4cePH5+fnnzn9F0Ko + efPmxNdo5HYQVZqg1MgJAACgIhqLruYtmH/j+vXS0lLqG6ZWVlbzFvzzPW7iX3ZTU1PaPj5ymTL1 + iyuXLxNFvXz58iVl38pW1taLl36n5gY0Fm7ubjeuX0cItWvf3qK5wn06qbi6up0+eSo3J6esrIy6 + bzMHAvv127Rho0gkSnqUNH3qNISQg6NDUWFRXl4ekYEv4E/+YsqRQ4dzsrOnTp5C3qitrb3g22+J + YwcHR+IgKjIqKjJq5KhRzZs3X7L0u9vh4eXl5SFBwSFBwUQGU1NTMkr7csaMoDNns7Ky0t6k/e+H + HxFCFs0trKysUp998MhPdWxsbJw7dkx58uTVq1f9evdBCGlpaZlbWBQXFWVkpCtqgtyiRn3++dUr + V8Nv3aqurj7w559keq+AAMbv0zQEjM7DRmA2hmD0E7kw+oAs8xcuTHqUFBMdjYgdy58+JS8t+HYh + sR0Py3apC8ZWjBk39uSJ46nPUnNzcrb+9hv1RmJGU24HUaUJSo2cAAAAKqKxzYDatW9/9MRxYhM1 + Akcnx0NHj1JfRXzx4gVCyN3Dg02Benp6R44fGzNuLG2hZO++fc4EB+HfSm7KEA+L0fvvl7DBo7Mn + cSB3b3alaN+hw/pNG8nHl592/fTg4cPUF+ERQj/+73/zFiwwMTEhU9q2bbtrz27fHr7EaZdPuvQN + DCSOeTweMdXXrn37w8eP2dnbk3c5OjkeOHyI/KBIs2bNDh8/Ru6D075Dh4OHD1taWqnYIlm0tbV/ + 37aVlMTMzGzL1t/HjhuHEEp7k0ZMlsttgixaWlq79uyeOm0aObNuYGAw9csv/9i7R6mXwdUFo/Ow + EZiNIdj4iSyMPiCLnp7evgP7Z82eTfU369bWGzZv+mbOHKXapS4YW6Gnp3fg0OHuPv+8B9asWbPl + K1eOHjOGOJXrXao0QamREwAAQEW02Owq0qCkPkvNzc2xsmrp6ORIu+Tj3S0nO/uXNavHjR/PvsDK + isonT56UlZWamDRzcHQg3xv4TxHg3zM9LW3Zjz98OWOG6qWVlZU9TXnavEVz4oNscqmpqUlPS8vP + z7e0tLSzt5f9tXv69GlZaamtUEgL61++eJGXl2dt3Zov4MveJZFInjx+LJZIXDqpYS9DDGKx+OWL + l2VlpZ1cXIiNymVR1ARZKioqnj19qq2tbWdvTw16miyMArMxBBs/kQveB+RSW1v7NOVpaWmJpZWV + g4ODonftG9MQjK149+5dRnq6gaGhs7OzbJytyLs4NIHbyAkAAMANzYeSinj16lVgQG9tbe2Y2HuW + lvLXTjUCIpGIcW7PwcGxQaMcDmxcv37PH7v9/P0PHD6kaVkAAGg8msjICQDAf4em+yYK8a6ij6+v + ZkfDd2/fDR4wEJ/nzv1Yck+QJsLQYcP3/LH7fmxsVWUl7C0HAP8dmsjICQDAf4emG0pe+Ps8Qujr + b2ZpVgy+gP/izWvNysABB0eHXgEBt8LCbty4MXjIEE2LAwBAI9FERk4AAP47aOy1GzzpaWmJiYme + nTsTH+YGOED8lhBfOgEA4L8AjJwAADQ+TXStpFgsrqmu0dXTJTbLALhRVVmJtLQM5X6gDwCAfx0w + cgIA0Pg00VASAAAAAAAAaPo00QfcAAAAAAAAQNMHQkkAAAAAAACAIxBKAgAAAAAAAByBUBIAAAAA + AADgCISSAAAAAAAAAEcglAQAAAAAAAA4AqEkAAAAAAAAwBEIJQEAAAAAAACOQCgJAAAAAAAAcARC + SQAAAAAAAIAjEEoCAAAAAAAAHNFpiEJfv3797u07RVcdHB2srKwaol4OiESi2HuxNrY2bdq0UZTn + eWpqbm4eeaqnp2tubm5rK+Tp8MjE+7GxzZs3F9rZcRMjJzs79HxonbiuV68ARydHboVwJiUlpbCg + 0NjYyMPTk3ZJKpXeiYmRSpGLq4uZmRmHwtlomERFNRJUVVXt2rFz9tw5WVlZjH7Ixrjs9ZOZmXkx + 9MJXs75mI+fDBw+aNTN1cHRQsn0NQpMSRikaR3K1eGZDoFT/UmOBmh2yAOBjQZUeSru3KYzSCpsj + bQB+WbVKyBco+jsXHKLe6mpra1f/8iu3e3Nzc4V8wb49ezF5vp2/QLYVn3h6XggNJfN08fD8/rul + 3AQuLS3t9mlXotizZ85wa4gqTJ86TcgXdHRwrKqqol16lPiIEOzunTvcCmejYRKl1KiIXTt2TJ86 + TcrOD9kYl71+6urq/H18b4eHs5HTr7vPvNlzVGysumAvjCrdTXVka28cNaromQ2nNKX6l7oK1PiQ + BbBEs70VkKrWQ2n3qmusa4iQqUFmJbv7+Orr6xPHSY+SYqKjh40Y3qpVKyJF7TH1Hzt3Hvjzzx9+ + +lG9xdKY+fVXWlpaCKG6OnF2VtaN69e/XbCgXfv27u7uyhZFEzg6Kio3N3fa9On9B/QXCGzULDdr + ampq7sfG9vDzoyZG3L6tKXm4UVxcvHf3np27/0DK+CEb47LRD4/HmzRl8sZ163v4+REFYrBq2dLc + woJ7U9UKe2Eap7uxr71JqVERmlWa2mkiQxbAyL/M8f7jqGusawivaJBQMqB3QEDvAOL44IEDMdHR + EydNkn04qC5qamoaqGQqixYvoT70DLsZNvPLLw/tP/Dbtq3KFkUTOD8vDyE09ctprVu3Vl1ObrRo + 0aK6ujridoRsqNSiRYuCggJNCaYsp0+eamHZoruPD1LGDxmNy14/I0eP3rRhY3RUtG8PX7yoZ0OC + OTWxQWAvTON0N/a1Nyk1KkKzSlM7TWHIAtjwL3O8/zjqGusawisaJJRkpLy8XFdXV1wnTkiI7+Ti + YmZmVl5ezuPxDA0NiQxSqbSsrMzAwEBPT4+8q7a2NvXZs6KiIgcHh1bW1kRiVVVVbU0tQqi0tFRf + X5+chSouLn6c/NjQ0MDd3YMaJRCkp6Wlp6d37NSJcxMCege0srZOSUnB5MnJzn7z5o1UKrV3cGjR + ooVcgcV14vLycoRQnUhUUVFhbGxMZCsoKEh58sTExMTVzY3H+0d+WdUhhCoqKnR0dPT19YmVf65u + rqampgih4qKix4+ftG/frgOfj2+Ojq6ud2fPqMhIamJpaWlCfPzgoUPOBYfQ8isSj0SRhtkYmgre + jrIEnz07cNAgxmx4ZI3LXj/m5ua+PXqc/esvxlCSpgq57o1HroOh906ir6//8uXLt5mZTk5OLd/P + xbIRBnO7ou6GFFtKbmdnFI9N3yFqp6kRMfUdDvWyRJEFFSlNFfPhRzB8ybTRg02BVCorKlUfshSh + rP7xvQY/ehQVFj1+/NjCwtzJyZnmsZgxSlFzlB2pFAlAK19PV7dOLOKOQb8AACAASURBVG7WrBn1 + xrKyMl1dXQMDA0TxlqRHSZWVFa6ubkbGRkQ2RY7H2EFki8I0nPG3QJGe8UrDWBZvdLX4IQ0OwwK+ + Q3GLT2THOoxuFYndUCETt+fl7Dmwf7+QL4iPi6Mm+nX3WbdmzaD+A4R8QXcvb4lE4tXlkyXfLiIz + yD6PT0hI8PfxJVbn2Alsfly2TCwWS6XSebPnkEvc1vy6msj85969zvYORGLPHn5PHj8my6kT1X23 + aDFxqZOj09HDh1mulawT1dHS+/YK8OvuQxzTllLV1NTMnzPXTmBDVOQotPt9yxbiEk3goYMGk6e9 + /PylUqlEItm4foODrZBI9PfxTYiPx6hOKpX28vPfuG79grnziFs8XFwT4uNvXr/h4tyRSNm4fgOm + gdOnTuvu5X3syBEhX5CdlUWmX7pwsaOD45m//qKulcSLx6hhvKFpasTYUS5Jjx4J+YL7sfdlL8n1 + Qyk74yqlH6lUeujAwY4OjpWVlXhpqQtfFLm3IjAORpS8cd16chmoo9Du6OHD7IXB3C63u0mxlpL1 + WLx47PuOrOSMfYdbvVIWayUxFpQVWxXz4fsXY8myo4eyQ6JahixZ8JIrq3Mp0+ixc/t2R6EdcbVf + n74vnj8nL+HHKLnNUXakwghAK3/1L7/Shp3MzEwhX0C6hF93n19X/Txl4iRynXdkRARxSdbxGC2l + qCi5DWf8LcDrGaM0jGUxl9TihzQ4uCVjh+Icn9DWSmJ0q+woqnrIpLHNgM4Fh+RkZ3/Wv7+Pjw/j + qjKpVDp/zlxdXd0tW38/eOTwwEGDTp04GRwUhBAaN2G8f8+eCKE169YNGDQQIRQSFLx29ZrOXbrs + 2rN705YtdSLR1MlTiouLiaJ27tgRdPbsqNGjDx87NvmLKb/+/As3+QsKCjIyMtq2ayf36sZ16y+E + hn719deHjh7ZtGVL23Ztt2/dlvLkiazAc+bNJWbRflz+vyXffYcQ2rt7z+5du/x7+h84fGjt+nU1 + NTVfTJqcnZWNV93p06fiHj5c9cvPi5YsrqqqWrZ06aKFC8dPmLBx8+YOfP7uXbtevniBb5Gfvz9C + KJIy8RZx+3ZXLy/if18SRvHUpWG8HeVyPzaWp8NzcXXhViOJXOOy1A9CyN3Dvaam5lFiIsvqMO6t + CIyDEZw9c+bu3bs/LV/+65o1llaWq1asfPXqFUt5MLfLdjfEwlKyHosRj33fkRWb0Tm51csI3oKy + YqtiPnz/YixZ1hbKdlh1DVk0lNU/Xud4n/zr1OktmzZ7dvbcsWvXL2tW52Rnz/lmtkQiwTdcUXM4 + jFR4AajlB/brhxAKvxVO3ht24yZCqHffvmTK6dOn0tPTDx09eujoEXMz81kzv8rNyUHyHI/RUoqK + kttwxtIwzcQoDWNZvNHV4oc0OAwL+A6lrvgE70JKjaLqEYlNYK4KimYl7QQ27P8RTE9LE/IF5Eu1 + daI6H2/vX1atIk43rFsn5AuIY4lE0qNb98Defch5ppSUFDuBzfat24gbPVxcp0ycSFa0/Kf/4f8F + l76fuKqpqakT1dWJ6srLyxPi48eMGiXkC44cqv/XkDppUSeq6+zmTv3v4X7sfSFfcPrkKVmBSRUV + FhRKpdKqqioPF9eRw4bX1dXLn5yUZG9j+/PKlYpUJ5VKe/n529vYpr15Q5zOnzNXSJmJjIyIFPIF + QWfPKmogMesmlUoD/P3nz5lLpnf38j64/0Do+fPkrBujeIwaZjkribejIuZ8802Av7/cS/hZSbxx + 2euHoKK8QsgX/LFzJ0ZUKeVfTLx7y8LoYH7dfRxshaQ/hN+6JeQLTp04yUYYxttp3stoKVmPxZSv + bN+hSs6m73CuFz8ryWhBqtiqmA/fv9iUTLMFtyFR9SGLBqPksmB0jvdJiUTi7+PbPzCwpqaGuHri + +HEhXxD38CFxyjgrSW0Oh5EKLwCtfLFY3LVzl5nTp5O3T5k4afCAgeSpX3cfexvbZ0+fEacvnj+3 + t7HduG49cUp1PDaWwhRFE4yxNEwz8UrDWBZzSS1+SIODW+I7lIrxCTnW4V1IqVFUXSGTxmYlhXZ2 + 7Ddp0+bxEEKXL14qKSlBCPF0eJExMT8tXy6bM/VZ6rt378aMHUM+7HdycnJydibetE1MTCgrKxsx + ahSZf8zYMSxl6Ojg6Ghn52hn597JZeSw4Q/uP+jyySfjJoyXk1ULHT52dPF3S8gEgY0AIVRWVspY + S3xcXFlZ2cRJk8hFHp1cXLp80uV2eDiZR67qHB0dyQWRtkIhQmjAwAHvT20RQmWlZYy19/Dzj46O + kkqlCKGnT5/mZGf79+qplHiqaJgK3o6KePP6TfPmyq1vI2BpXEb9EBgZG5lbWKS9SWNZO3v3roeF + g7m4upL+4OLqihAqKipkKY9St7OxlKzHKiy/gftOQ9SLlLWgCuZj6F8sSqbZQvUOy3nI+gDl9Y/R + Od4n096kZWZmDh8xklyfPXDQoE1btrTv0IFlk6nN4TBSMQpALV9bWzugT5+Y6Jja2lqEUGVF5b27 + d/tQpiQRQl0+6ULuRyG0s3N1c4uIiJCtl42l8EVRBWMsDdNMvNIwlsVcUo8f0lDeLfEdSl3xCYML + KSO2ukTSzGs3CKEOHdqzz9y2bduA3gFXLl++FRbWs1evQYMH9wnsq6urK5vz9atXCKHIiMjXr16T + iWWlpcQsfWZmJkLIjuJMdvb2LGUgBnSEkK6urpWVla9fj1GjR+voyFEgj8dzcXWtq6t7+OBBclLy + 05SU6KgohJCoro6xFiL+cOroTE10dHJ++OChVCol5uTlqq51m39epdTT10MIWbVsSQqMEJJImR/f + +Pn7Hzty5HFysoura0T47fYdOtjY2DxOTmYvnioapoK3oyKKi4qcP5SNJSyNy6gfEjMz00LWoRt7 + 9yZg42DUV2sNDQwRQrW1IpbyKHU7G0vJeqyi8hu67zREvUhJC6piPnz/YlMyzRaqd1jOQxYVDvrH + 6BzvkxkZ6Qghakhhamo6bMRw9k2mNofDSMUoAE1dfQMDz5w+fffOHT9//4iI2yKRqE/fPtQMjk4f + KN9WaEs8BKfBxlL4oqiCMZaGaeaD2PtIsdIwlsVcUosf0uDglvgOpa74BO9CSomtLpE0FkoaGhox + Z6Kwdfv2tavXhISEXL1y5eqVKy1btfpjz253Dw9atorKCoTQ/djYBw8eUNON3r+aihAyNPqnaj09 + PepbqBiCQkJYvpeHEIqKjFzy7aK8vDyEUIsWLbr7+Lw7f57NjVXVVQghY6MPlGNibCyRSEQiEfEv + iFzV6enRW6HDU9q43bp56+rqRkZEuLi6Rty+TayoUEo8VTRMBW9HhXdVVDRrZqpsXYi1cRn1Q6Kv + r19RXsFeAJbuTcLoYPJepZSyl4f97WwsJeuxmPIbtO80RL0ESlmQs/kY+xdjyTRbqN5hOQ9ZNDjo + X5HO8T5ZXV2NEDI0pC9xZg+1ORxGKkYBaOry8fUxNDIKuxnm5+8fduNm69ataS/SmpiYfHC7gWF5 + RblssWwshS+KKhhjaZhmMioN05sUXVKXH9JQ1i3xHUpd8QmjC7EXW10iaSyUpKGlpSWl/FYRk/lU + DI2Mfl7967KffrwdHn4uOOTG9evfLVp89eYNejYDQ4TQ7n375G7FYmxkjBCqKP+nbxB+pq5WEJSW + ls6Z9Y2ent7a9eu8vL078Pm5OTmh7H6WjAyN0Hv7kZSVl+vo6CjaLkeNGBoZffLpp5ERkV9Mnfrw + wYMvZ0xXVjxGDTMaul4SrB0VYWpqWlGhRACnLIz6ISkvK1dq62aW7k2gioOpHW6WUoSm+o7qKmVv + QVXqwvcvDiWrPiSqZcjiphNFOsf7JBFPVFZUUhPJiSvEeoyqL015/2cUgIa+vr6fnx/xoPZ2eHj/ + gfQXzqqqPiiqvLzcxNgEycDGUiyLYlMappmMSsP0JkWXGuKnU+0dSl3xCd6FlBJbXSJpbK0kDT09 + varKKvKU9unktDdpu3bsKCosMjQ0/Kx//9379g4bMfzly5c0VSKE+AI+QujJ48fUxBPHj18IDUUI + 2djaIoSo+wW+evmS/Yt7LLkTE1NeXv79jz+MHjOGWPD09u1bhBCSMk8LEesakz98Zvo4OZmQvBHo + 4ecXHxd3K+yWlpaWd7duyorHqGG8oUnwdlRE8xbNS0tZLW7jDF4/JKWlpc1bNGdZJnv3JlDFwdQO + N0spQlN9R0WVKmVBVerC9y8OJas+JKplyOIgOUbneJ8k1o09f/GcvFRWVubWsdOJ48eJU5ZjFAEH + /2cUQJa+gYEZ6enht24VFBTQFkoihFKfpVJPnz5NkbsikI2lWBbFpjRMM/FKw1gWc6khfjrV3qHU + FZ/gXUgpsdUlUlMJJS2trJKTk8ViMXF67sNd3fPy8rZs2nzlymUyRSqV6uvr6xvoI4R4PB2EUFVl + JULIydm5lbX10cOHiVOEUOqz1FXLV0TejkAIdXLp1LJlyxPHjonr6is6euSo2ttCvJZhRJkTPn3y + FEJIJKpfqUAVmIaHp6e5hcXRw0fIwP/hgweJCQk95b3e0RD08PcTiUTbt23r6uVlKPOMhlE8Rg3j + DU2Ct6Mi2rRtm5mRwb6xHMDrh6C4uLi8vLxt27Ysy8S7tyyMDtag0LyXm6UUoam+o6JKGS1IFVuV + uvD9i0PJqg+JahmyOEiO0TneJ9u1a2djYxMSFEw8JUQIhZ4/X1VVZW9f/7oJyzGKgIP/MwogS6/e + ATwd3rbffzcxMfHu5k27Ght7j9zrLfbevdRnqQF9ehOnVMdjYylMUTQYS8M0E680jGUxlzj4YVlZ + WdqbNLmDCVk4UmuHUld8gnchpUZRdYnUVB5w+/bw3bl9x6yZM3v17h0THX3/Xiz18bynp6e9g8Pa + 1avz8/Lt7OwSEhJC/z4/bPhwYkWRRXMLhNCXU6eNGDVy1OjRC75duOy7paNGjJg85Yu6OtGunbt0 + dXWnTZ+OEOLxeAsXL1r23dLp06aNHD0qJjo69Hwoy/2l2OPh4amnp7dx/XqEkLGx8bngkJs3bujp + 6ZETZjSBqffq6urOX7Bg1YoVkydMGD9xYn5+/s5t283MzAj5GwFnZ+eWLVs+T039XN6LWoziMWoY + b2gSHo+HsaMiunb1unLpcnFRUcN9lBmvHwLiP7yuXl4sy8S7tyyMDtag0LyXm6UUoam+o6JKGS1I + FdvXtwfnuvD9i0MrVB8S1TJkcZAcr3O8T85buGDhvPkTx40fN2F8Tnb2rh07u3p5ffLpJ8RVlmMU + ATf/xwsgi5mZWdeuXndiYgYOGiT7OqBUIp06ecrc+fNqa2t/2/KbdWvriZMmEZdo/YXRUpiiaLCx + u6JmamlpYZSGsSzmEo/HU9YPzwWHrFqxYseuXZ8N6C83g9o7FN5blOqMGBdSdhRVj0iKtkdSF4r2 + laRueiSVSisrKqZN+YLYUb1bV6/4uLguHp7UvYtePH8+6LP+5C7tixYuLC8vJy7lZGf37OEn5Atm + TJtGpBzcf8C1Y/2HXny8vW+FhVHr+mPnTic7eyFf4OLc8fKlSw62Qm5fu6FC23Yu6OxZ8kszI4YO + S332bOzoz8nNwGgCUzdpI9i7e4+LkzNxe2BA7+SkJIzqpFJpLz//2V/P+qeBu3ZRCyT2RTuwf78i + 4cl9EwmWfLtIyBe8ePGCOJXdNxEjXr0AijWMNzRNjXg7ypL67JmQLwi/dUv2krJfu6GirH7+2LXL + xclZJBLhpaWaEuPecsE7GM1JiH0ut/72G0th8LfLdjcp1lKyHosvX6m+I1sa+76jVL2MX7vBW5Am + tormw/QvpUpmU6BcVB+yZMFLLhe8zvGjx6EDB0mBRw4bnvXun8/J4Mcouc1RdqTCCKBIXYcOHBTy + Bef//puW7tfd5+sZM2dMm0Yq/3lqKnlVtr/gLYUpSq5gjL8FGD1jlIaxLN7oSvnhkUOHhXzB5YuX + ZLVNwsEtpUwdinN8QmsCRrfKjqKqh0xaUk2sr1JE2pu00tJSRydHuetkJRJJRnpGUVEhny8gwmoS + sVicl5vXvEVzyvLhquepz42NjQQCG9mXc4uLit68eWMrFBLfqm4IKisqX758aWZmKvf717ICy97+ + /HmqsbGx0M5O7fOmqsMoHl7DeENTwdtRln59+np5ef28+leWDWkIRo8Y2a5du9+2bVXqLox7ywXv + YA2KXO9V1lIYNNV3VFQp3oI0sVWsC9O/uJWs+pCo+pDFQXK8zvE+SQhs0qyZUCiULZn9GMWmLrng + BaBx7MiRX3/+JTbuIc1A/j6+Hp6eW3dsf/niRW1traOTk7b2B+vWZPuLIksxFoVpBcbumGZilIax + LN7oSvnhTz/80DcwELMXB2qYDqWu+ASjW2VHURVFalqhJACoyOGDh3bv2hV97y6bQbAhyM3N9fHy + PnH61Kddu2pEAAAA/n2MHzPW0NBw/6GDtHQy/lO9CjUW9VFQWVE5ZNDAYydOWre21rQsHz1N5bUb + AFALI0aNrK6upn7hoJEJOnPGyckJ4kgAAFSntrb29MlTK5eviL13b8SokZoW519FxO3bg4cMgThS + LTSV124AQC00a9bs62++2b/vz14BAY1fe11d3dEjR9dv3ND4VQMA8O9DV1d3+U8/icVid3f3zz6T + /3YIwI3PBvRX9MINoCwQSgL/Nr6YNlUiEVdVVSnarKfhyMnJ+Wb2Nz38/Bq5XgAA/pVoaWlt2fp7 + SUnJkKFD5S7B3PHHH8YmxmqpS41FAf81YK0kAAAAAAAAwBFYKwkAAAAAAABwBEJJAAAAAAAAgCMQ + SgIAAAAAAAAcgVASAAAAAAAA4AiEkgAAAAAAAABHIJQEAAAAAAAAOAKhJAAAAAAAAMARzYeSDx88 + SH2WqmkpGpz7sbEvX7yQPVYjIpEoOir63bt3KpbT+BZRl+QfLw2n8yau26bQ/ZWSoSkI3EA0cVfR + CHhzc3CGhPj4lJQUleUCgKaF5kPJb+cv2Ln94/h+vEgkWvPram73zvrq6z/3/Sl7rEaKi4unTJx4 + 6cJFFctpfItgJFdF5x8RDadzdXmFuqAZtCl0f6VkYJ9ZI66rSqUad5Um2Nnx5ubgvcuWfr9l4yaV + 5QKApoXmQ0mrli3NLSw0LQUr/ti588Cf6g8BmxpNyiL/EZ3/d/jYDcq+d2ikpR+1ej864ZvUUAkA + GkTz3+A+GxKsaRHYUlNTo2kRGoMmZZH/iM7/O3zsBmXfOzTS0o9avR+d8E1qqAQADdJQs5IVFRXE + uPA8NTU6Krq0tJRILy4qio6KTk9LI3OWl5dXVVVR7y0qLIqKjHqcnCyuE1Oz1dTUVFZUxkRHl5SU + kOkFBQVRkZEJ8fFisRjJILcozF1ELQihly9fRty+nZuTQ16qqqqqralFCJWWlhJ55IqEl0cRxcXF + 0VHRcQ8f0uRU1Goq6WlpUZGRhYWFSpWMEKqtrU1OSoqMiMjJzqZVSrUIUT6hClI/CKsrNrUzSo7k + 6ZyAvZIVtZFRNkVOSNWMVCotLS2tra0lr8oaC1+LLK9evYqOiparzJzs7Ht37969c6egoIAqklwP + kavbsrKy6upq8rSmpqa0tFQqlZIp1OYoWyOblioyqNyGK9vl8dahqkXWmRXJIAu1FqWGC0YV4Tua + rB5kraNIvSp2Qyqy/YImXlVlZVlZGe0u0vHIdiU9Srp3925lRSVeY/ierrrG8CJRkesbLH+8MFRV + VZWWllIzyzUWXqsIO9ABQGMgbRh6+flvXLd+wdx5Qr5AyBd4uLgmxMffvH7DxbkjkbJx/QYip193 + n3mz55A37ty+3VFoR+Tp16fvi+fPyWzr1qwZ1H+AkC/o7uUtkUgkEsnG9RscbIVEZn8f34T4eKoM + covC3+XX3WfjuvXfzl9AXHUU2h09fJi4NG/2HCJRyBes+XW1rEhisRhTchcPz++/Wyp7LJVK/9y7 + 19negbirZw+/J48fU+WhtZrawDpR3XeLFhM3dnJ0Onr4sJAv2LdnL5uSExIS/H18iUt2Apsfly0T + i8U0i9SJ6hYtXEhVRXcv780bNzHqirF2RskV6ZzR6FQwbcTIJlXshF5dPlny7SIyW25uLlVsWWPh + a6Hi191n9qxZpLYdbIW7d/1BXq2pqZk/Z66dwIZU9e9btiiqFKPbvr0CJo2fQBa75NtFQr4gOSmJ + OE199kzIF1y5fFnZGhn1iTEopuHKdnm8dTDOjFe+rKXI8Uqp4QLfHfAdjaYHRdaRrZSxXjbdkERu + v6CJt/qXX4V8QXZWFnlXZmamkC8gNOPX3efXVT9PmTiJKOQTT8/IiAi5GsPbWl0aw4vE6Bssf7yo + fNY3cPrUacTx89RUt46dBg8YWFlRgTfWml9XY7SKGegAoHFowLWSp0+finv4cNUvPy9asriqqmrZ + 0qWLFi4cP2HCxs2bO/D5u3ftkn2L+a9Tp7ds2uzZ2XPHrl2/rFmdk50955vZEomEuHouOCQnO/uz + /v19fHy0tLT27t6ze9cu/57+Bw4fWrt+XU1NzReTJmdnZeOLwt+FEDp75szdu3d/Wr781zVrLK0s + V61Y+erVK4TQuAnj/Xv2RAitWbduwKCBsiLt27MXX7JcQoKC165e07lLl117dm/asqVOJJo6eUpx + cTGZgdZq6r07d+wIOnt21OjRh48dm/zFlF9//oVlyVKpdP6cubq6ulu2/n7wyOGBgwadOnEyOCiI + Jtu2rb+fCw4ZPWbM4WPHps+c+cuqnwvy86kZFOmKsV14yUlkdc5oPhJMG/Gy4Z0QD9VY54JD8Jal + cf3atZio6OUrV65dv87a2nrThg2kMjeuW38hNPSrr78+dPTIpi1b2rZru33rtpQnT2Qr1dLSwujW + y9s7MSGBbEt8XBxCKDExkTiNjY3V0tLy8vJStkZGH8YYFN9wpbo8HrwzY2TAw3K4wKuIsaPR9KDI + OrLqVUs3JMD0C6p4gf36IYTCb4WTN4bduIkQ6t23L3F6+vSp9PT0Q0ePHjp6xNzMfNbMr4ipPprw + eFurS2N4kZTyDWXHjdLS0q9mzDA2Nt7755+GRkZ4Y/UNDFSkVZaDOQA0LA0Uovby87e3sU1784Y4 + nT9nrpAyExkZESnkC4LOnpVS/rGTSCT+Pr79AwNramqIbCeOHxfyBXEPHxLZ7AQ25P95VVVVHi6u + I4cNr6urI1KSk5LsbWx/XrkSU1RMdDTmLqIWB1shKXb4rVtCvuDUiZPE6YZ164R8AdlGqkh4eaQK + ZiUlEkmPbt0De/epE9XflZKSYiew2b51m2wVNOpEdR4urlMmTiRTlv/0P3JSAV9yelqakC+4EBpK + FuXj7f3LqlVkpfNmz6murnZx7jh5wj+TWBvXrRfyBdR//RXpCl87XnIaVJ0zKpmKojbiZcM7IeOs + JGksRsvSIJT5+tVr4jQyIkLIF5w8foKQvLObO3Xy437sfSFfcPrkKamMh+B1eyE0VMgXPHnyRCqV + FhUVCfkCt46dli5eQuScP2fuoP4DlK1R2ZbKdiJFDVeqy0ux1sE7M0YGWWizkmyGC7yK2HQ0mokx + 1qGqV43dENMvaOKJxeKunbvMnD6dvHfKxEmDBwwk22JvY/vs6TPi9MXz5/Y2thvXradpDG9r9WoM + LxLeN1j+eFEhZiXFYvEXkyZ3cnR6lPiIjbEwWsUP5gDQODTgrKSjo2MHPp84thUKEUIDBg54f2qL + ECor/WDxR9qbtMzMzOEjRurp6REpAwcN2rRlS/sOHYhToZ2d0M6OOI6PiysrK5s4aRKPxyNSOrm4 + dPmky+3wcExR+fn5mLsIXFxdSbFdXF0RQkVFClcRkSLh5VFE6rPUd+/ejRk7hqdTf5eTk5OTs3PE + 7duyVdBITEwoKysbMWoUmTJm7BiWJWvzeAihyxcvEQuJeDq8yJiYn5Yvp5b/4P6DqsrKceMnkCnj + Jk5AH6JIV/ja8ZJjUErJitqIl43RCfGQxmJjWRouLi4CGwFx7OrmhhDKJyZatNDhY0cXf7eEzElk + KysrpVWKmHTr5e2N3k9GJsTH6+jo9B8wgJyVvH//frfu3ZWtkUNL2TZcmS6Ph9GZMTIwCM9iuMCr + iE1H+2AQYLIOy3qV6ob4fkEVT1tbO6BPn5joGGKVamVF5b27d/u8n5JECHX5pIuDowPZLlc3t4iI + CFp1eFurXWN4kdj4hrLjxsb166MiIzf9tsXVzZVIYRixFWuVzWAOAA1NA77B3bpNa/JYT18PIWTV + siVxqqurixCSSD+Y/M/ISEcIUcMmU1PTYSOGk6cdOrQnj9PepCGEnDo6U0twdHJ++OChVCpVVNSp + EycxdxGPj1u3/kdsQwNDhFBtrUhRG0mR8PLQHkyTvH71CiEUGRH5+tVrMrGstJT6eIXaaiqZmZkI + ITtKG+3s7VmW3LZt24DeAVcuX74VFtazV69Bgwf3CexLGIUkIz0dIcS3EZApbdu2JR7EkCjSFb52 + vOQYlFKyojbiZWN0QjyksdhYloY1RZkmxiYIIeJng8fjubi61tXVPXzwIDkp+WlKSnRUFEJIVFdH + qxQx6dbS0lIoFMY9fDh+woT4uDgnZ+fOXboEBwVVVFQU5OfnZGd3695N2Ro5tJRlw5EyXV5RFyNg + dGaMDHjYDBcMLseio1H1wGgdlvUq1Q3x/YI2RvUNDDxz+vTdO3f8/P0jIm6LRKI+ffuQVx2dPrCg + rdCWeFZLhWF4V7fG8CKx8Q2lxo34+PhbYWG6urouLi5kImM/UqRVNoM5ADQ0DRhK6unp0yvj4aoj + XkYzNDRQlMHQ8J/Boqq6CiFk/OHwYWJsLJFIRCKRoqLwdxH/UJL/B1OQyqTQRWJTsiwVlRUIofux + sQ8ePKCmGxkaylZBo7y8HCFEHUD19PT09fVZlrx1+/a1q9eEhIRcvXLl6pUrLVu1+mPPbncPj39k + qyhHCJmamlJvNzD4wKaKdIWvHS85BmWVLLeNeNkYnRAPaSw2kJ6tXgAAIABJREFUlqWho6Owd0RF + Ri75dlFeXh5CqEWLFt19fN6dPy9bKWKhW+9u3SIjIxFC8XHx7h4e7h4eEokk6dGjzMxMHo/X1ctL + 2Ro5tJR9w9l3eUVdrF5IJmfGyICHzXCBVxGbjkYbBPDWYVmvUt0Q3y9o4vn4+hgaGYXdDPPz9w+7 + cbN169YdO3Uir5qYmHxwr4FheUU5rUC8rdWuMbxIbHxDqXGjuKjIz98/Oipq04aNv2/fRiQy9iOM + VhkHcwBoaDS/ryQJ0flpezEomm8wMjRC70dDkrLych0dHT09PUVF4e9SRXhuJRPTGLv37fPt4ats + jcZGxgihCkqNxDjLsmRDI6OfV/+67Kcfb4eHnwsOuXH9+neLFl+9eYPMYGLSDCFUWlLStm1bsvzy + Mvqgz6FdeMkxKKtkuW2cv3AhRja8E2ppaUkpgQJm4koVy9IoLS2dM+sbPT29tevXeXl7d+Dzc3Ny + QuWFDoiFbr28vY8fO5aXl5eYkDB8xAh7B3tjY+PEhMRXL1+6uLoaGxsrW6MaW4qH0foY66jizKqD + V5GysrG3jhq7oVKDs76+vp+fH/Ew+nZ4eP+BA6lXq6o+KKS8vJyY6qOCt7XaNcZGJDxK6cfO3n73 + vr2rVqz469TpadOnu7m7IRb9CKNVxsEcABoazX/thoRYj/L8xXMypayszK1jpxPHj8tmJlZbJicn + UxMfJyfb2Npiirp75w7mLlXAy6MIvoCPEHry+DE18cTx4xdCQxlrJEqmfs711cuX5AuD+JLT3qTt + 2rGjqLDI0NDws/79d+/bO2zE8JcvX1KHQuLp0qPER2TK05QUNgEfY+14yTEopWRFbbRqaYWRDe+E + enp6VZX/bCP37q3CrxWrYlkad2JiysvLv//xh9FjxhDL8t6+fYsQQlI5k+WMuvXq5o0QCjpzpqKi + wt3TQ1tb283dPTEh4d7du/ULJZWsUY0txcNofYx1VHFm1cGrSFnZ2FtHjd1QqcEZIdQ3MDAjPT38 + 1q2CggLqQkmEEO2j1U+fpsiuBcfbWu0aYyMSHqX00759ez09vXnz5+vr669bs4ZIZNOP5GqVzWAO + AA1NEwol27VrZ2NjExIUTG67Gnr+fFVVlb29g2xmD09PcwuLo4ePkCPIwwcPEhMSevbqiSlq9JjP + MXcxwuPpIISqKuV0Ubw8inBydm5lbX308GGyzNRnqauWr4i8TV+HLksnl04tW7Y8cewYuZPt0SNH + WZacl5e3ZdPmK1cuk/mlUqm+vr4+5SGRm5tbu/bt/9y7t6iwCCFUV1e3ZdNmRqnY1I6XnAZV50op + WVEbPTw9MbLhndDSyio5OZncLfmc4m9dqGJZGlKpFCFkRHnYd/rkKYSQSERfG4dY6LZFixZ29vZH + jxw1NTW1sbFBCHl4ekZHRWVmZpKhpFI1KttSTCfCw2h9jHVUcWbOkC3Fq0hZ2fDWoapXjd1QqcEZ + IdSrdwBPh7ft999NTEy8u3lTL8XG3iO3gYu9dy/1WWpAn940jeFtrV6N4UViibL6QQi1srae/MWU + 2Hv3wm6GIXb9SK5WMYN5eXl52ps0iCmBRqAJPeBGCM1buGDhvPkTx40fN2F8Tnb2rh07u3p5ffLp + J7I5dXV15y9YsGrFiskTJoyfODE/P3/ntu1mZmbTpk/HFNWte3f8XXgsmlsghL6cOm3EqJGjRo9W + Sh658Hi8Bd8uXPbd0lEjRkye8kVdnWjXzl26urps5OHxeAsXL1r23dLp06aNHD0qJjo69Hwo+TwF + X7Knp6e9g8Pa1avz8/Lt7OwSEhJC/z4/bPhw6sIvLS2t5StXfjV9+pCBA339/B4lJuTl5iGEsK83 + sGoXXnIaNJ2zV7KiNurq6uJ1jnFC3x6+O7fvmDVzZq/evWOio+/fi6Ut9mepAaXw8PDU09PbuH49 + QsjY2PhccMjNGzf09PTIL0jR6mXUrZe39/GjR3179CDSPT09/9i5U09Pr8snXbjVqFRLMZ0ID2MX + w1hHFWfmDLWlGBUpKxveOjT1qqsbImUGZ4SQmZlZ165ed2JiBg4aRFtrKJVIp06eMnf+vNra2t+2 + /Gbd2nripEmyGsPYWr0aw4vEHqX0Q/DVrFmnTpzcsG6df09/Nv1IrlYxg/mlCxd/+P77zb/9NnT4 + MGWbAwDK0UCbDPXy85/99Szy9I9du4R8QWFBIXFKbPl2YP9+qcwHAw4dOOji5Exs3D9y2PCsd/X7 + +9OyEezdvYfMHBjQm/xuB74ozF20WirKK4R8wdbffiNOc7Kze/bwE/IFM6ZNkysSpmTM124O7j/g + 2rH+I0A+3t63wsIUySPLHzt3OtnZC/kCF+eOly9dcrAVUreFw5T84vnzQZ/1Jz8vsWjhwvLyctlK + L1+6FNi7j7O9w+gRIxPi44V8AXXPS4yu8LUzSk5C0zleyTQwbcTLpshzKisqpk35gkjv1tUrPi6u + i4cndV9JmrHwtVCh3VsnqqPukxd09iz5magRQ4elPns2dvTnxK5ycj0Er9vLFy8J+YItm+oLLygo + EPIF4z4fQy1B2RrZtxTfiagNV7bL460jVezMeOXToO0ryX64wKuIfUeTYq0j21/U0g0J5PYLRWPU + oQMHhXzB+b//pmnv6xkzZ0ybRlrweWoqeZUmPL6nq0tjeJHwvsHyx4sK9Ws30ve/jORepIz9SK5W + FQ10p0+eEvIF54JDZMUAAPXSUKGkKlSUVyTEx7948YJ95uepqbSPCuKLwt+Foa6uLutdFrkPrbLy + KKKysjIxIfHF8+fk/rTsKSosjI+LKykpUbZksVj85vWb+Lg4MsSnXaU1ITsrS/j+U10swbcLLzmJ + rM7ZKxnTRrxsGCd88/rNo8RHGB9gXwt7KsorHiU+InfDZoSlbtVYI/uWMnYiRsEw1pdrHbU4Mwdo + LZWrIm6yYawjq161dEOyXpaD89HDhx2FdrRiydjrxfPnTx4/lv2+H014ubZWr8YYRVIKpX685II3 + llytSpkGcwBoaJpiKAk0BfLy8lw7dgwJCiZTzoWECPmCmOhoDUoFABxoys7clGVThXGfj5k25Qta + IuNjFjaoV2NqEanRkKtVANA4TWutJNB0sLS0tLS0+n3Llrbt2glsBEmPktb+urp9hw6ffPqppkUD + AOVoys7clGXjQG1tbUhQcEpKSuy9e1t3bG+IKv5lGmNDI2gVAFRBSypvgw8AQAjdu3t39teziouL + iVNLS8t9Bw6QX/oCgI+IpuzMTVk2ZZFKpU529mKx2N3d/a+gIPIzgAT+Pr4enp6qB0Nq1Ji6RGpQ + 8FoFAI0DoSSAo7ioKDo6Ojsrq3XrNr16Bxiy/oQJADQ1mrIzN2XZlOXihQslJSVDhg6lfUUGIZT0 + KMnYxNhW5X18kfo0pkaRGhSMVgFA40AoCQAAAAAAAHCkCW1RDgAAAAAAAHxcQCgJAAAAAAAAcARC + SQAAAAAAAIAjEEoCAAAAAAAAHIFQEgAAAAAAAOAIhJIAAAAAAAAARyCUBAAAAAAAADgCoSQAAAAA + AADAEQglAQAAAAAAAI5AKAkAAAAAAABwBEJJAAAAAAAAgCMQSgIAAAAAAAAcgVASAAAAAAAA4AiE + kgAAAAAAAABHIJQEAAAAAAAAOAKhJAAAAAAAAMARCCUBAAAAAAAAjkAoCQAAAAAAAHAEQkkAAAAA + AACAIxBKAgAAAAAAAByBUBIAAAAAAADgCISSAAAAAAAAAEd0NC0AAABAPWlpaQG9A/Lz8znc27xF + 8/PnzgsEgmbNmqldMAAAAEARWlKpVNMyAADw36KFZYu6ujpqio6OTuqzVKGdUMURSVtbW1tbm1a4 + mZlZelq6KsUCAAAAioBZSQAAGpu6urqS4hKEkJm5GXnQokULqVRKnFIvMULNaWZuJpFIZAtviFYA + AAAACNZKAgAAAAAAAJyBWUkA0BgWzS0kEgk1RVtbu6iwSFPyAAAAAICywFpJANAYZuZm+Xn5urq6 + xGl1dXUr61Ysn+p+1DT+E+f/glYBAAA0AsxKAoAmIeNIhJCBgYEGJWlkZJczlhSX0FY9clsrKbfw + BmgBAAAAgBCslQT+a7zLeldeXs6YLSs7i002QI3QXrvmQG1trVokAQAAANgDD7iBjx7ZnWVI9PX1 + c3Ny16xds2HDBs6urqWldSH0gq+vb1hY2PARw1WQtL60osIiLS0tJG/ijf1U3EdN408Twn5AAAAA + DUSTCyUrKysvXbq0eMnioiJ4+QD4t6GlVd/jWrduLRKJ5O7FbWVlpaur++7dOzLF0spy4/qNAwcO + 1NfXbzxZG4CoqKiJEycWFRcheYsXRSKRjo4OEWRzRiQSUdcMkJiZm1laWf70w0/9+/e3trZWpQoA + AACAStMKJePi4r6c/qWjg6OXl9eCBQtU/FEB/juYmZvxeLxevXrdvHlTS0tr+/bts2fPRjJr5lQp + n1jJh1R+gcPM3Ky4qLiFZQuxWKwoD4/HKywoJE/Pnz9/5OiRrKysq1eumpiYqFK7Blm0eFF0VPSI + ESOWLFlibmHeyJOvZuZmBw8cvHnz5rXr1375+ZexY8c2Zu0AAAD/YppQKFlcXNzdp/vGjRsHDhio + aVmAjwwiyNPV0xXVihBCY8eMPXX6FFJTKPny5cvOXTqrMZSkvV+iKA8tce7cuXw+f/HixarUrikS + EhKmTpsaExNjaGCINPEcn6wxNTV16NChx44d69KlS2MKAAAA8G+lCb12s3///r59+0IcCXDn/b9F + Ojr0rQlUWS9x8eJFzvcqQkdHx8zcTNGfrPwIoTFjxvz2+29ql6RxSM9Iz8/PJ+JIzeLg4LBixYq1 + 69ZqWhAAAIB/CU0olNz82+ae/j01LQXwEUNu952Xl0e7ZGFhwbnYefPmcZdJAanPUjFXX754KZvo + 6+v78b5UPmTwkNLSUk1LUU+vXr3CboVpWgoAAIB/CU1oX8mK8opBgwaRp4I2cpbGv3mX3YgSAR8Z + 5OrD3LxcMlEkEiGEJBKJtjbHf5yysxvb6+QuO0lLS2vevLlUKpVIJDwer5FFUjsa3OuxVatW4jqF + C1UBAAAApWhCoST6cLtm9D5wJGLKN++y5QaXACALdQJsztw5CKGSkhLOE5Ph4eEIoWvXrqlDtHpa + tGiBFCy7NDM3kyuqhYVFWXmZuYW5trb2uLHjNmzY8PG+goMobaetm+S8jBK/sxLsUg4AANBANKEH + 3LIM6hdIho8QRwJsKCku6ebdraCggEyJuB2BVNv+uqamBiF05eoV1cVTBVNT0/zc/JLikoz0DIlE + 8v3332tWHgAAAABATTyURAhduRGmhbS0EOwKBLDFt4dvSck/s1PErKSlpSXnAvl8PkJo3NhxqstG + Q+47N4x3mZiYbNiw4fiJ4+TaUAAAAADQFE06lLxw9ZpTx46algL4yDhw8AB1reHsb2YjhFTZo9TP + zw8h9Omnn6oumyzUl7XlvrgtF1NTU1VWfwIAAACAuvgIfopev8t6/S4Lk0EqlUbHRAcHB799+7bR + pAIajuTk5DNnzjx9+lTZG7W0tEQi0Y5tO+R+74QzDRGxVVdXEwfUJ+/kMXxLGgAAAPhY+AhCSZs2 + rW3atFZ0tba2dtjwYUuXLg29ENqzV8/g4ODGlA1QO0u/Xzpu/Lir164OHzFc2c3/iouKdXV1BwwY + kJ8n54OETYpx48cheXOlRMqX07/UgEwAAAAAoDxN6w1uDuw/sL+ZSbOQ4BBtbe2nT58OHDSwf//+ + hoaa3wkZ4EBUVFR4eHjsvVhDQ8OSkhIfX58hg4d06tRJ03Kpn8ePH+vo6BgbGz9KfGRubk4kvn37 + tmevnnl5ecnJyZoVDwAAAABY8hHMSuIfcMfHxw8ePJh4BOnk5NS2bdvUVNzmz0BTJi4+LrBvIPGf + gJmZWU//nnHxcZoWqkHYsmVLt27dVixfQcaRCKG2bdvOnz/f19d386bNGpQNAAAAANjzEYSS+Afc + dkK7O3fvEMc5OTnp6ekCgaCRJAPUjb29/Z27d4gXk0UiUez9WAd7B00L1SAMGjjoQuiFL7+kP8ie + M3vOhdALAQEBGpEKAAAAAJTlo3/A/fXXX/fp22fipImOjo5nzpxZtGiRmRnsRfyx8lm/zw4dOtR/ + QH9/f/+rV696enp6eXkx3pWYmNgIsgEAAAAAIMtHEEoST7cVbVFuamp6O/x2UHBQdlb2/j/3q7hj + Swd+h5KSEjMzs/S0dFXKAbihpaV18sTJCxcvpDxJ+WHZD/369WNzl47uR+DGAAAAAPCv5CP4DcY8 + 3SYwNDScOGGiGmukbnANNDLa2tpDBg8ZMngI+1s6dWR+Lyc4OHjYsGEctvXJzc2FWU8AAAAAUEST + Xis5/5uvG/l7Hulp6SXFJdw+AQw0ZaZOm5qfz2WHoKvXrn4+5nO1ywMAAAAA/w6abiippaV1+dJF + 23ZtpEgqRVLmGwAAS8uWLTncNWH8BPg+IQAAAAAooumGkqs3bKirE9vYConTN++yNSsP0GQpKysj + DpT6kjVL4OOEAAAAAICh6a6VHD9hkmmzZnNnzSJOFb12AwDpGekIIW1tbZg+BAAAAIBGpumGkgih + QUOGDRoyTNNSAE0dQwNDhFBuTi7109uJiYl+/n6aEwoAAAAA/hM06VCyyULsGaTULbDBUMNha2uL + EKLGkQghd3d3DYkDAAAANCr79++PiYnZtWuXvr6+pmX5LwKhJBdKSpR+y1uNq/cAAAAAAEAI3blz + Z+bMmRkZGQih27dvnzx5UsXtpQEOwCsFwL+cwsJCTYsAAAAAqJmMjIz+/fv3798/KytrzJix8+cv + KCkpCQwMnDdvnkgk0rR0/y0glAQ+elpYtsBcnfLFlEaTBAAAAGhoKv/P3nmHNbF0YXyyCb2E3sWC + IqCAYi9XwQIW7NgRO3ZFigrXrmBFUbHQq9jRK6jotaCAIvaGIIoIKkhPIaTufn/sd2NMECkBFpjf + w+NDNpOzJ1lM3szMeQ+LtXbtWmtr6/T09CFDhsTGnnZ2dh41alRMTKyVlXV0dLS5ufnTp09bOs12 + BFzghrR6+Hx+Lffm5eU1VyKQukKhUES3fIht/2jwbhDJBwqPUCjwvQ4CafUIBILDhw8fOHCAw+GY + mppu2LBRV1dXeK+SktLu3bsvXDgfExNjb28Pl6SaDfj2Cmnj+O72necyr6WzgPxCWWlZS6cAgUBa + GYmJievWrSsrK9PU1Ny6dauVlXhtJZvNvnw5PiEhkUql1j7FAJEucIEb0saZOLEe7bwhEAgEQjTe + v38/ZMgQZ2fnqqqqpUtdIyIixXSkQCBISkpydV1aVFQUGBgoJyevrKzcUtm2Q+CsJKTVU4s5eVFR + kZ4eNLeHQCCQVklZWZmbm1tiYiKCIOPGjVu8eImsrKzYmJcvX4aGhqipqW3fvgP3hquuZsF3/uYE + SklIq+fsmbMzZs6Q3CdHIpGoVKl5MJ07d05aoSAQCARSO1wud8+ePceOHRMIBNbW1u7uHurq6mJj + 8vPzIyIiiooK5851Hjp0qPA4h8NRU1Nr3nzbNVBKQlo9Dg4O9bX5bADPnj/Dpz8bbxGak5PTrVs3 + qWQFgUAgbQwMw6KiojZv3sxkMo2MjLy8NuBzjaKUl5efOROXnp4+Y8aMceM2k8lk0Xt5PJ6GhkYz + ptzegVIS0l7gcDgNaITw6tUr/BfXpa6dO3f28fFpZKdvEokkWnIIgUAgECFpaWnLly8vKChQUVHd + tGnTkCFDxQZwOJyEhIT4+Hg7O9ugoGBFRUWxAVwuF0VRLS2t5koZAqUkpN2go6vT4MciCNK1a9eu + XbuuWL5CiilBIBAIBKegoGD58uVpaWmysrLz5rk4OTkhyC+VwRiGpaWlRUSEd+rU6dChQ7/bDclg + MAAA8Bt7cwKlJKTt8+3rNxqNZmhoyGQy09PTR40aJXrv+fPnQ8NCT508JbqGIjryw4cPXbt2be6k + IRAIpH1QWVnp6el56dIlAICdnd3y5Ssk5xqzst6HhYULBAIPD08LC4taouFS0sjIqOkShogBpSSk + 7aOsrIwbQygrK4vpSADAjBkzZsyYIfkQ4UhTU9NmSBICgUDaGzwe7/DhwwcPHuRyuZKW4zjfv3+P + iYnOysqaN8/Fzs6ORCLVHpNOpwEADA0NmyppiARQSkIgEAgEAmluhJbj2tra69evt7S0EhvAYDDi + 4y/dvHlrwgTH9evdJW2AaqS0tAwAoK2tLf2MIb8BSkkIBNJmaVitFaQxZKSn/3M5fpzjhCF//dWA + h7988fzfmze/fM5TUVXpaWU1feasOgoISCvi2bNnrq6unz59UlJSWr16jYODg9gAPp9/+/btM2fi + +vfvf/z4cUkboFooKSkBAMAK7uYEdruBQCBtkPT09EGDBnUw7tCrd69bt261dDrtgoL8/NXLls2a + NvVMbOzLF88bEOHE0aNTHR3/TUoSCPgvn7/YsmnTVMfxHA5H6qlCWorCwsJp06aNHDnyy5cvkyZN + jomJldSRGRkZK1euSEtL3blz16pVq+ulIwEA5eVlAErJ5gXOSjYEKpXaeHNBCATSRJSWlrrMdwk8 + Fmhvb//48WPnec43k25KWtNBpEjW+/dTxo8bbmd3ICDAy82tYUE6m3S5eDXBpk8f/OaOLZujwsMT + rlxxmjlTeplCWgYWi7V9+/bQ0FAMw/r06bN+vbtkC4mcnJywsFAGg+Hq6tq3b7+GnaiykkYikWDj + xOYESsmGkP8lv6VTgEAgvyUlJWXQoEH29vYAgAEDBkydOvXmrZvQyKlJ0dDUOH3hok2fPg2bj8QZ + O95R7GZUeHj+ly+Nzg7SkqAoGhQUtHPnzurq6s6dO2/cuNHQULy8uqys7OzZM0+ePJk1a7a9vb2Y + DVC9oNEq5eTk/lidA5EiUEpCIJC2hoKCAo32swFSZWWlooK4twhEuujo6OroSNnJ79vXrwCATl06 + SzcspDm5devWmjVrfvz4oa6uvmmTt42NjdgADocTH38pISHRwcH+xImTkjZA9YXJZMrLyzcyCKRe + QCkJgUDaGsNth2/esnnP3j0THCfcf3D/wf0He/z2tHRSkPqBomhMZKS6uvqYseNaOhdIQ8jOzl65 + cuWzZ8/k5ORcXOZPmzZNbK4RRdHk5OSoqEgLC4uAgAAdnYZ3kRCFxWIpKSlJJRSkjkApCYEQFy6X + m5iYmJOTo6mp6ejo+LvuDhAxFOQVEhMSd+/e7brM1cLC4vr163APfqvj6KFDL188PxkSqghlQWuj + vLx83bp1iYmJJBJp7NixS5YslSzDf/nyZVhYqLy8gre3t5mZuRTPzmazYdfEZgZKSQiEoOTk5CxY + uODt27c6Ojrl5eU7du445H9o+vTpLZ1X60BPTy8wMLCls4A0kKjw8KOHD3lt8nYYB6ckWxNcLnfP + nj3Hjh0TCARWVlYeHp6S9df5+V/CwsKKi4sXLFg4YMAAySAMBuP06dN37ty+cOFiA3LgcDj1LfqG + NBIoJSEQIsLlcucvmA8A8PDw+PTpk56eXklJyYqVK7p3725lJW7kC4G0JUJOndy7e7fHxo0r1qxp + 6Vwg9eDs2bOenp5MJtPIyMjT08vExERsQEVFRVzc6fT09BkzZo4dO5ZCEVcgGIYlJFw9d+4ci8Vq + 8EoCj8fT1NRs2GMhDQNKSQiEiPzzzz+ZmZnr168/dOjQiBEj7t+/b2NjY2FhsX///tjY2JbODgJp + Kvbv8TsVGOizdeuSZctbOhdIXXn06NHSpUu/fv2qoqLi5bVh2LBhYgO4XO6VK1euXLkycuTIkydP + 1ejU8/DhwwMH9iMIoqCgwOfzJau86wKLxcIwDLa6aWaglIRAiEhWdpaRkVHW+6yxY8aeOXPm7Nmz + K1auWLRoUXJyckunBoHUgIDPf/PmNQDgQ1Y2AKDw2/eXL56TSCQr6151t2Xx27kzNOiU/ZgxJl27 + 3rtzGz9IIiHD69B5GdIiFBQULFmy5PHjxzIyMrNmzZo1azaZTBYdgGHY/fvJ0dHRpqam/v7++vr6 + kkE+ffoUHBxcXl7G5/MBAEuWLAkJCamxifa7d+8UFBRq8YhlMBgAgBrPAmk6oJSEQIiIurp6aUmp + gaFBYmLiP//8cyn+kp6uXllZGSwfgRCTTx8/TnX86QoZFxsTFxtDIpEePnuuq1tXk6BHaakAgFtJ + SbeSkoQHEQR5/OKlJiykIBhVVVUbNmyIi4sDAAwdOnTNmrWSPj6Zme9CQ8NIJODp6WVhYSEZpLS0 + dMWK5QKBAMMw/IisrOzJkydJJMTA4Bc5+P3798jIiKdPn2poaISGhv0uK1xK1ihDIU0HlJIQCBGZ + OGHi7t272dXsLp27uMx30dXVXbxo8f4D+/ft3dfSqUEgNWBqZpb77XsjgyTchC0uWwF8Pv/w4cMH + Dhzgcrmmpt29vLwkzSUKC79HRkZ+/PjRxcVl2LDhkpPKbDb7woXz165dZ7PZ+BElJSU+n4+iqJaW + VklJiejMYmbmu02bNuFys/g/evbsKZkbjVYJADAyasjiOKTBQCkJgRARY2PjvXv2rndfP6D/AFdX + 14qKigMHD9jZ2S1atKilU4NAIO2XxMTENWvWVFRUaGtru7mtl6wCZDKZ586dvXPn7pQpkz08PCVt + gFAUTUpKCg0N6dixo1BhIgjCYrEAAHw+f8SIERcvXtTV/SlPEYSM60gbG5tv3765ubkBgMXFnZFM + r7i4BMAG3M1Ow3sTQSCQJmXBggXXr19XVFK8du3ahw8fdu/aff7c+cb0E4NAIJAG8+bNm4EDBzo7 + O7PZ7GXLloeFhYvpSD6fn5BwdcWK5Ww25/jx49Onz5DUkc+fP1+zZs3169d4PF5R0Y/Zs+fgxzEM + w8UimUzOyMjAMOzOnTvTpk1FURQAkJubCwDo06cPhULR1NQyNDSoqqrKycmRTLK8vAxAKdnstJFZ + SU0tTXy7bo1QKJSy0jKphKpXNBhKiq98vUK1GQYNHBQ8aTJnAAAgAElEQVR/Kb6ls4BAIO2aoqKi + FStW3Lt3j0KhTJo0ef78+TIyMmJj0tPTIyMj9PT0fH39jI2NJYPk5+d7eLjzeDwdHZ3i4mIAAJfL + CQkJxu+1srLKzs5ms9lqampMJpNKpV669NNUMisrCwAwZMiQyMhIFMXGjRs7ZszYXbt22ts7zJw5 + UzSZsrJyAKVks9NGpCQuQWiVNAAAm83G+2+iKMrn82VlZalq1IaFqpG6R+Pz+bRKGlWNSquk4WFx + Gy1hhg0LhWEYl8uVk5NrfCjRCBwOB4/Z+FB8Pp9EIpHJ5Aa/8sJQGIbxeLz6XkRIe6bG7yTt86sI + BNJIqqurt23bFhYWJhAI+vbtu26dm5qamtiYjx8/hoWF0ukMV9dlki22AQCVlZVxcXEPH6ax2WwK + hTJ+vGNoaAgAgMfjUSgU/H9rXl6epqZmSUmJm9t6X9/dwg2U7969vXDhYlbWewBATEyMjU0fFxcX + 3OvHxsbm+PFADw93N7f1wppuGq2STCbDHtzNTBuRkqLo6evh8+QYwMgIuaK8ojHR8I+lxn8Oaeto + 4xP1AAASiVRZUdngUBkZGQ5jHIT1bt++fqvRo6sulJeXd+7SWXgzLTWtxo3MdUT4ypNIpOCg4Bkz + ZjQ+FAAAQZBGXsRWio+Pz5mzZ8rLy8WOy8nJZb7LbJGUWgVCHYkgCIZh+P81+FUEAqkXGIZFRERs + 3ryZxWIZGxt7eW3o1KmT2JiysrLo6KiXL1/Onj3H3t5ecvsNj8crKSlZtWqlsbExXluNIEhMTDSu + IDEMk5WVxf/D8vn8cePGOTpOQBAE15EuLi7R0dH79+83Ne3O5/NlZGS2bNnSrZupMLi6uvrmzVvu + 3bu3bdvWcePGT58+nUKhMBgMyVV1SFNDOCnZxdDgj2NUVVVfvs/63b0YhpHJZIFAQEbIQvXWYIQT + b42Mg6IogiAoiuK5NSbUgAEDUAwlgf9vV26wjgQSqwCN0ZFA5JXHMKyR/f0wDEPICCr4/4vWmFCt + FBRFo6KicnJyDDsY4q9D4fdC/Kt2x04dCwoKWjrBVoDw2wgEAqkXt2/fXr16dVFRkZqampfXhr59 + +4oN4HA4CQkJ8fHxdna2J0+ekrQBAgC8fPni2bNn169f5/P5JSUl+Du5mpp6ZWUFLjoRBNHX18/L + ywMACASC+Pj4iRMnCR8+ffqM6OhoAwODgoICT0+vwYMH15iqnZ1dr169jh8PXL/ebf16dyazSkFB + QUovA6SuEE5KAgD+6CjxR7kpEAikov+kC4qi0sqKBEj4QrBUokkxlPCVb7yfMCqQ2svVGkEQhFnF + JJFIJEB68fzFwEEDDYwMUAF65/adysrK3r17t3SCrYDGzP1DIO2T7OxsV1fXV69eycnJLV68ZOLE + iWJzjSiKJicnR0VFWlhYHD58+HemoXfv3o2OjqJQ/r+LEUEQEomEYRiNVjl//vyIiAgAgIqKioKC + AoZhKIoKBAIOh4Nh2MOHD/GHnDp1EkGQQYMGjx8/XnJrpij49OS///67bdtWLperBS1Imx0iSsle + 5t3pdHqNd6mqqr58ny15XLjfovFIN5S0lFCbDwWk+sq3DSgUip6+HgDApo8NhmEkEglBkBEjR0g2 + roXUiIamBv7dpqUTaZswGQzJ3RfNhpKSEjQtly7l5eVr1669du0aiUSyt7d3dV2G754X5fXr12Fh + oTIyMps2eZubm9cS7e7dOzQabfHixUFBQQAAGo0mIyPD4/H4fP7Vq1cBAHJycjt37qLTaVu2bAEA + 6OjoAoB5eHjw+TwAAIIgCEKOjT2toqJSx/xHjx7du3dvV9elBQUF27dv37p1K/S7aDaI+JlEp9Nz + vxXWeFcXw5q7IZWVlhFw+grfXimVxNp8KEDUi9iCkMlkXFtjGIZP0OJbI8T6kkF+RyN3kkBqx3n2 + zJfPn7fU2SkyMm+zc2B1hVTg8XiHDh3y9/fncrk9e/b09PTS1NQUG/P169fTp2Ozs7OdnefZ1aGP + pZOT06tXr8LCwvA5AldX17Nnz/J4PCMjo/LychKJdP78BQRBrl+/jo8fOnRIcnKyhob658+f5eTk + jhw52oCONVpaWmFh4Tt27AgICLh48eKlS5e6d+9e3yCQBkBEKdkANLXE/+4bjBQnxv7olQNDiUWT + VigIBNTqwwBpPOzq6i3bdyx2Xdb8p3714sWk8WP5PB6AUrLRXLx40d3dnU6n6+vre3p6mZqaig1g + MOjx8fG3b9+ZNGmSu7tH7WvNQu7cuQsAkJGRkZeXr6ioOH06ztDQgMViMZlMLpfL4/FwMfrx40d8 + /PPnz5WVlcvKytavd2/Mrn11dfWAgIDr16+HhAQPGjRo5cqVO3fuhNOTTU3reH27GOr3Mq/tuwUx + F0bxkh0Yqu7RpBUKAoFAILWTnp5ubW29ZMkSFEU9PDyDg0PEdCSPx0tIuLp8+XImk3ns2DEnJ6c6 + 6kgAgJoaVUVFRU5OrmPHjgAAEgn07NkTQZCysjIOhwMAKCkpSUi4+vTpE3x8QUHBpEmT/f0PNbL6 + E2fcuHGnTgXp6uoGBgYOHz688QEhtdNqZiV/t3sSAoFAakRNXQ3A4pvmorKyMun6tVr8FpSUlCZN + mVp7EBqNlnT9Wo07E4YNtzXq0KGxWUIAAAAUFBS4uro+evRIRkZm9uw5M2fOlNw2k5GRERISrKen + t2fP3hotx2snLy+PRCLJysoymUx5efmAgCO6urrx8fEAAAsLi8zMzC1bNquqUqurqxEEUVBQiIqK + ltya2WB4PN6jRw9ZLBaCIHWXv5AG0zqkpKqqakunAIFAWhlQRDYnd2//u9XHu/+AgTXe++NHEYvF + +qOUvHv73y3emySDvH3zuiA/f6PP39LJtR3DYrE2bdoUGxuLYdiQIUPWrl0n6eOTk/MhNDSUw+Gs + XbvO0tKyYWd58+bNkCFDmExmdTVbQUFBtNB73779EyY4GhsbZ2Vl//XXMGdnZ+k2p0lLS4uKiuzQ + ocPevXtXrlzZgD2XkPrSOqRkjVXbTQSsI4ZAIJD6gqGYhoZm7LnzNd577kzc0cOH/hgERVF1dQ3J + IPNmzQTQJbRxoCh66tSpXbt2VVdXd+rUycfnb3198TLW0tLSmJjoV69ezZo1u0bL8TqiqKioo6OD + YdibN2/4fL6j4wQAQEXF/ztNZGRkUCgUNpu9a9cuSdvzxvDhw4ewsNDq6uqVK1cWFhZ5e/tgGAa9 + gZoBQkvJLob6uPuP8JffjZSi/pNiHTExbXeIGQpAEQ+RNuoa6riZa0snAgEAgMqKCp8NXrWP+fw5 + t3mSaW9cu3bNzc2tpKREQ0PDx+fvXr16iQ1gs9mXL8cnJCQ6ONifPHmq8S7fmzdvXr16Nd634vr1 + a4qKinixNoVCiYyM8PH5u1+/fo08hSglJSVRUVFv376ZO9dZS0tzx44dhoZGqqoqlZUVkooZInUI + LSWF69p/XOCWov6TYh0xMW13iBkKQDOgNk11dXXznxT/GGv+80IkGTL0r/mLFmN/6lzVq1fvmhfB + SSTYu6hhvH//fsmSJe/evZOXl3d1Xebo6Cjm4yMQCP7999+4uNO9e/cODAxs5Fpzbm4u3g6bzeZg + GIYgiIvL/LNnz2RlvTcxMXn9+tWCBQsdHR2l+B+zurr6woULSUlJjo7j16xZ4+w8F8MwPp8/cODA + iory/Px8IyMjaZ0L8jsILSWF05DCX1RVVWu0loRmQK09FIBmQPWETCaXlJRoa2u3dCJ1IjgkuNl2 + PAuntzEMEwgE+PcT6Oveshh16LDB26fhj8ewxjfQam+UlZW5ubklJiYiCDJu3LjFi5dINqd++fJl + aGiImpra9u07cAnYYHJzc0NDQ9+8eR0ff1lGRiYnJwcAgKLo27dvLC0t37/Psrcf7e3tXWOLxYaB + ouitWzfj4uJ697Y5duwY7oXJZrPt7OySk5Pv3bs3ZowDAADulWwGCP32KrnAjWtKSTVJzIVRafXv + bg+hAFEvImFxcnIKDAzcvn078T9iy8vLY2NjT8eebp7T4ZPlkDYGnJWsO/g2xKCgIIFAYG1t7e7u + oa6uLjYmPz8/IiKiqKhw7lznoUOHNvKM8+e7VFZW4vX7dDq9qqoqNTUFv+v58+cDBgwMCAjQ0dFp + 5FlEefbsWXh4GJWq5uPj8+5d5qJFC+PizigpKQEAcnI+Wltbv3r16tOnXACApN06ROoQWkrWfYEb + Amlv+O72nes8t0/fPjQ6rbSktKXT+S0qyioysjLLly0fNmxYS+cCabUQ/vsSQcAwLCoqavPmzUwm + 08jIyMtrg+RcY3l5+Zkzcenp6ZMmTfbx8ZGKVw7eQlNeXp7NZsfFnc7IyODz+WQyGUEQP789ZmZm + jT+FkPz8L2FhYcXFxQsWLPz27duBAwdoNBqKoriOBAAgCInL5fn7+2dkPAEASMpoiNQhtJSUXOCu + IwiCUNWoJCltr5HWrBuJRKKqUREEqcV6rS7gT0qK2wqlGAp/5QEAHA6nkSZh0r2IbQ9tbe2kG0kf + P35UV1cn8jL3+6z3Oto6cGIAAmlq0tLSli9fXlBQoKqqumnTpiFDxOcaORxOQkJCfHy8nZ1tUFBw + Y9aaURS9e/fumTNxYWHhwoNBQcHz57uUl1cYGBh++JDt5uY2fLitFJdNKioq4uJOp6enz5gxc+zY + sSiK+vn5GhkZFRcXC8coKirOnes8ePBgAEBSUhIAQLpOQ5AaIbSUlFzg7mXevS5e5bhWa5gEkawj + ltYaLp5PI3UkAODBgweiN2k0GpXawPR+/PghevPJkyeNrKoTPruzZ8/Onz+/8aGgjqwFBEEku5wR + DXMz85ZOAQJp4+Tn569YsSItLU1WVnbePBcnJycxHx8Mw9LS0iIiwjt16nTo0CE9Pb3GnO7169dh + YaGfP38We3+WkaEAAD58yJ42zWn37t1S9AbncrlXrly5cuXKyJEjd+zYiaIohULBMAxF0dLSUtEZ + h3PnzgMA2Gz2okULzczMyWRy46vRIX+E0FJScoGbTqfnfivsYmggNlJM/+Ezfw2b0JKsI26wjhTz + ysHzadispGgosX0t9dWRoqFEbWMBAH369GlwKBzhs3NycqpXKCBxEfGXC85KtiUKiwpjomMKCgp0 + 9XTnzpnbuXPnls4IAmndVFZWenh44F1kbG1tV6xYKTnXmJWVFRYWJhAIPDw8LSwsGnnG/Pz8v//+ + WUGVmZkZGRmB/75ixQpNTc2jR49JcU8ahmH37ydHR0ebmpru2rXLx8f7zp3bMjIyUVHR+GSktbX1 + mzdvuFwuPh5F0du3bx8/HoiiKJPJkGIHHUgtEFpK1n2BW0z/4WZyDZOAknXEDQ4l5pWDYZhUQuE2 + CrhbXgOiSTr4CEPV15BWMpTwlRduW6lXNNFQjXm5IAQkKSlp2fJlKIqamZldu34tMDAw4HDArFmz + WjovCKRVwuPxDh8+fPDgQS6Xa2pqumHDRrGpAQDA9+/fY2Kis7Ky5s1zsbOzk8paM5vNxn9ZsWLl + yZMnDhzYb2JiAgBQU1Pfs8fPyEiazS0zM9+FhoaRSMDT02vXrp3Pnz+vrq5etmz5y5cvAABcLhf/ + NPT3P2RgYAAA4HA406c7derUCZ/RqKqqglKyeSC0lKw70AyotYcC0AyoTfP9+3fXZa5DBg/R09d7 + 8eLF2DFjOVzO2nVrrXtZwxVwSF3AAFyd+EliYuK6devKysp0dHTc3NwsLa3EBjAYjPj4Szdv3pow + wXH9endJG6AG8/HjR/yXcePGnTx5Qk1Nrbi4ePduX2tra2mdAgBQWPg9MjLy48ePLi4uw4YNJ5FI + TCazW7duubm5qampuMuPmhp1//4Dopt8ZGRkMAz78eOHrKwsl8utqqqCNbvNA6GlZN273RDTR4aY + tjvEDAWa8SJWVVUpKioS30OnLRERGUEmk7V1tC9cuDBr1qwrV64MGjTI0NDw1KlTRwKOSPdcNX7D + oVAo0CSoRcAw7FRgIIPxyx536169HcaNE97Myc6+fOmi6AASgji7zNc3+P9eJvi/VcizZ89cXV0/ + ffqkpKS0evUaBwcHsQF8Pv/27dtnzsT179//+PHj0q1fFggE+IwgAODgwYOKiorjxo0fOXJkg1ss + SsJkMs+dO3vnzt0pUyZPnTpt8+a/bWz6qKioAACKi4uNjY0zM9/1798fAKCioqqiokqj0WJjYx49 + ehQbe7qsrAwA0KFDh4qKytLSEjabbWAgvh0O0hQQWkpCMyBIYzDuaEyj1dw0j0ql5n/Jb+Z82jN5 + eXkW5hZPnz51mefi5+fXoUOHo0ePDhs27PPnz1I/l1BHUigUgUBQWVEJpGpTAKkXNBrtwN49CJmM + /CcHURQ179FDVEreTLoREhQkqhYxDDPp2nWq0/TmTZbQfP/+ffny5Q8ePKBQKNOnz5gzZ46k8X5G + RkZoaKiurs7Onbs6duwo3QSePn0aFhb29WsBAEBOTs7AQD86OkaKK8h8Pv/Gjevnz58fOHDQ7t27 + ExMTY2NjURRVVlbGB3Tvbvb+/ftp06bZ29sDAFgsVkJCQlzc6QEDBuKFRPjGqpKSEmtr686du0RE + hMvLy0srPUgtEFpKNtgMCAKpqKig0WiKioqfcz/T6XS7EXampqaX4y9nZ2fbO9hXVla2dILtCz1d + vZQHKUP/Gnr5yuUOxh2io6OtrKyys7MtLS2b7qQCgQA2TiQIqEBQe7EhhUzhcNjCm1JckG0DVFVV + bdy4MS4uDsOwQYMGr127VqiuhOTk5ISFhTIYDFfXpX37SrO9NQ7uvGNlZVVUVKiqqnro0GHpOnyl + p6dHRkbo6en5+voZGhpOmTLZzMwM3/KIz0nLyspRqVTR1o7z57vgRdzGxsbGxsYAgI8fP8rKyjo7 + z7tw4fy9e/eUlZXXr18vxSQhv4PQUrJFkDQDgrRG8GWd7du2m3Y3pdPp+nr6Dx48oKpRqVTq+8z3 + BhImAJAmZe7cuUHBQTIUGSsrq927d9vY2JiYmCQnJ/v7+zfdSfH5SAikVRMbG+vl5VVdXW1qaurh + 4Sm5YltSUhIVFfX27Zu5c52lu9YsSlVVlaysbHFxiays7LRp06S4bv7x48ewsFA6nbFkyVJra2vc + QgjDsOLiYlHDk0uXLok9kM1m29raJicn37hxfeXKVQAAQ0NDU9PuR48ekZGRcXd39/b2lqIhEaQW + muRvrvkhZoNdSa8cGKr2aNIKJWTZsmUAAFVV1dOnTwuLyhtQXQ5pJGZmZnv89py/cD4vL2+C4wQa + jRYaGrpp46ZBAwcJx1y9elVLR4uqRhX70dPXCwgIaMBJNbU01dTVpPckIJAWwMPDg8vlrl27Vlin + LKS6ujo6OnrdunUGBvpBQcGjR49uIh0JAGAyGaqqqmQy4unp9ejRI29v78LCwkbGLCsrO3z40K5d + O4cPt126dGl0dNT8+S4AgJKSEgBAt27d9PT0an9GlZWVvXvbMBgMDofzzz//LFgw/927txMnTszJ + ydm6dSvUkc0GERWYqqqqZJdt4V01Hpc0g2wwUpySlPTKgaFqj9Z0G9psbGyaKDKkjixevLhPnz4n + T538nPfZxMTEz89v6K/dONZ7rE99kJqcnOzt7Q1IIOBwwIwZM+Tk5CorK/v07ePm5taAk8IF7tYC + igp+uSkQiA1on/ayLBaLw+FYWlpGR0fTaLSpU6fh0gpF0eTk5KioSAsLC6m3t64ROp2hrKxSXl7W + uXNnX1+/a9cSvbw8Z82aNX68YwOKoths9qVLl65duzZ27BgPD8+4uNNZWVljx47FRST+bT8rK3v2 + 7NmSdUWi5Obm2trazZo16/jxwPz8fABAWlpa470zIfWFcFJSVVWVTqcDUPOfJp3O6GJoICkoiekj + Q0zbHWKGAkS9iBAp0qtXr6BTQb+7t7Sk1MzMbPCQwSSERFWjrl231t3dHUXRiooKvMNvfYEl20RA + WVlpuJ0d7dfdyZa/GsdYWffqaWWFifRuIFMoOjo/XRLbbQV3RUUFAKBnT0t3d4+jR4+mp6evW+dW + VlYWFhYqL6/g7e1t1lxeWgwGQ1VV5cuXPBUVFQRBJkyY2KdP3yNHAh4+fOTm5lZ3LYui6J07t2Nj + Y62srI8ePaqlpTV79iwURQUCwY8fP4YNGwYAqKioUFJSCgoKqr21o6qq6vbt28+ePbtp00YMwywt + LaOioiR7jkOaAcJJyZfvsxrwKGLubiSm7Q4xQwGiXkRIsyG6X6K8rBwAwBfwAQBUNWrDNj/gX06g + oGxZKBSZiNjTtY8ZZms7zNa2WdJpZeAFgpqamlpaWjt27Lh5M2nt2jVUKnXFipUDBgxozkwYDAZe + CyUs2TYwMNizZ+/Vq/+4u693dnZ2cBjzR8X/8uXLsLBQRUWljRs3ff/+Hbf4YTKZlpZWb9++efbs + mZ3dCACAoaHh2bPnAAAPH6YFBwdHRkZJhhIIBLa2dl5eXgKBQF9fPygoCJehkBaBcFISAoG0T8pK + y3R1ddkiZbxCKGT4TgVpj+Dz8XitNIlEGjNm7K1bt1xc5vfq1auZM2EwGAAAXPwJQRBk8uQpffv2 + DQgISEt7uHbtWm1t7RofXlBQEBER/vXrtwULFmAY5uPjTaFQhgwZgt+rrKzcoYMxAJia2v83N+fm + 5np5eaqpqYmdEefmzaTQ0DA2u1pRUXHbtm2urq7tdt6aIMA3aHFgBTcE0jaA85GQ1s63b9/Ar0UC + HA5H6IZTL6qrqxEEEbWBZLPZdDq9jmvTTCYDACBpQgQAMDLqsH//gfj4S+7u611c5o8ePVr0XhqN + Fhd3Oi0tbfr0GZs2eU+f7qSrqysQCAQCgYKCAgBAQUHh8+fc+fMXDBkyBFeEM2ZMFwgEXC7X1taW + RPql7Obdu3eHDvkXFxeTyeQlS5bs3r0bOkcSASglxWnS4g8IBNJswAVuIoBh2NeCAuzXqhkDQwMK + 5Wd1LZPBENsOK68gL7pXEo/TpHkSk69fv4JfBRydzqhRz9XOlSuXz549KyMje/ToUdzH59Gjh4GB + gRgGPDw8+vTp88cIdDoD/L7yFUEQJ6fp/fsPOHz40MOHD1evXq2pqcnlchMSEuLjLw0fbnvy5Cl8 + fhFFUSaTiTc2xB978KC/vr6+aLV1dXX1X3/9lZqampqairv8AAB+/Pixf/++Dx8+kEikUaNGnThx + ohmKjSB1pI1ISSlOJUqx+IOYtjvEDAXgfDAE0ha5dePGiqVLxA56b9mydPkK4c0lC+ZnpKeLDkAQ + 5EVmporK/4VLu129/PHjB/hVSlZVMWtc862F1NSUmzdvHT9+wsPD/du3b+rq6vn5X06cOLF7t29K + SsqJE8fDwsL/GASflaz91MbGxgcP+l+8eMHNbV2vXr0zM9916dJlx46dKSkpy5Yti4uLw1fJNTU1 + ORxOUdEP4aNQFL1z586xY8diYmLwU2RmZlpaWr5+/ebDh2wzM7MjR46kpqZgGGZqahoWFtakrQ0g + DaCNSEloBtTaQwE4HwyREsLvJPi/+B8VMa1n2wNsNltGVpb33xQUAEBOTo7N/mVHLIvFEnsUiqI8 + Lq858iM25eXlJBJJWMhcXV1NJpPra5d45syZ1atXAwC4XG7nzp0BAJcvX3Zymm5kZJSWlurp6VWX + IHQ6Q15e7o8qlkwmz5w5y8amj4eH+86du759++rh4W5tbY03NsQXo9lstrGxsbe3D/6QlJSUixcv + FBQUCAR8YXwtLa3Kyspt27ZmZWXNmjWTz+dra2sfO3ZszJgx9XrukOahjby9EtNHhpi2O8QMBYh6 + ESGtDriiDWkzlJaWkslk4RchBoPxuyVmUb58+fLixYu//vpLU1MTRdGvX79Sqap+fr5Tp07FLRu/ + f//eq1cvf/+DJiZdzc3rZCfEZOJS8s9nBwBoamqqq6vv2ePH4/FQFDU17Y4fLygokJGRWb16jfV/ + blB8Pv/Agf1dunTh8X5+c1BQUBg1apSSkvKhQ4cZDLqCgoKPj8+6deugTSxhIVy3G00tTcl2F1Q1 + au06g5gLo7hXDgxV92jSCiWEzWbTaDQajTZr1iwajQYAwP+FQCAQ4lNZWYnXpuDQ6fQ/bpRMTU3Z + unVLdnb25s2bAQAIgowePfrvv/8eOHDgtGlO+BgHhzFnzpzR0NCsu/M/nU4Hf1rgFsJgMJSVlVks + Fm4wfu3atQ4dOgAAunTpEh9/2dramk6nFxZ+BwBQKBQMw0pLS0VLsP389ly5cmX//n0sVtXMmTM/ + fPjg7u4OdSSRIdyspFCdqKmr4fuscedCYopFCGHBl8wGDhqoqKjI5/NfvX6FH1eQV9i3b1+LpgaB + QCB1gkajidp0490LaxlfUVFx6tQpPz+/oqKia9eu4QdXr14DAMjPz09Pf6Smpt69e/cRI0aMGDGi + XpnUaAZUy2B8ZG5ubr9+/Z4+fYq3sQEA8Hg8F5d5CIIgCBITE1tcXAwAsLDo8f59ZlVVVWVl5cGD + B1+/foVhWP/+/UNDQ42NjYUPfPP61bs3bz/n5hbk59NolezqagCAqipV39DApGvXPn37WffujZtf + QpoZwknJFgcWf7QN8PZiigqKXwu+kkikyMjITp06jRgx4v79+3Od57Z0dhBIe0FeXl50oyQAgMPh + iI3BV11rp31WcDOZTCr1Zx95Op1R+xJzWlpq//79DQ2NAgMDhdsK//nnn4iIcHzaz8DAUElJcevW + bfUqA+fz+VwuVyAQ1GV5HQDAYNDxkYWFhTY2NhERkbg15t27d8PDw5hM5sKFCzMzMwEAGIZRKBQa + rdLb2+f+/fvz57ugKGpkZBQUFIS7TlaUl9+8ceNaQsKTx+mSfzliqKqqjh3v6LJokUWPHnV/dpDG + QzgpSaFQNLU0y0rLKisqgfRKOiDtDXl5eSqV+i7znYZmDR5sVCr8u4JAmgP7sWPvP0oXE4JUNTXR + myERkZK9MUXHtFsD6urqamPjjsKbDAZdRaU2CURh39gAACAASURBVCgQoGVlZdu2bVVTU7O1tfv4 + 8WNYWGhpaSmCIBcvXkIQBMOwY8eOxsREr1ixsu5pMJlMOTm5L1++1PE64A27VVVVt2/fIdrJMDw8 + TFlZpaqq6tmzZ7j9pKysrI/P31+/ft2yZTOXy6VSqb6+vs7OzgCAosLCk4HHzp85I1akVet56efO + xJ0/e2a0g8PWnbsMjYzq/hwhjYFwUvLihYuTp0wGIgvcdanGkOJUohSnJIlpu0PMUKAJ5oPzv+RL + MRoEAmkAJBKpw39rlL9DWUVFuZ4GN+0EDoejrv5TUjMYf5iVtLe3p9NpOjq6NjY2R44EvHz5cs6c + udra2mfOxOELNSQSafbsOW5u6+olJauqqlgslra2tq+v74YNG4Vdan4H3rD79Ok4AEBJScmqVavC + wsJUVFRoNJqMjIyOjs6bN2/69x8AAMjNzT127GhFRYWMjMzq1au3bNkiNFHX09ff4eu3ZfuO/Pz8 + F8+epT96+PjRo4L8P7+rYxh2KykpNSVl644dM+fANajmgHBSUnJrbV3kBTF9ZIhpu0PMUICoF7EF + 0dXVza/pfVNXV1fyIAQCaWNgGMbn87W0tIRH6HRG7f/9FRQU5syZGxR0KiQkZPLkSadOBSkoKJw6 + dapv377CMdra2mw2m81m171PjIGBQVBQsL6+/oQJjnv37lFSUgoJCalF1DIYdBUVVRaLdfHixUuX + LqIoKtzx2a2baWbmuxEjRpqYmLi5rfv06ROJRBozZszx48fxRXAxKDIyXUxMupiYTJsxAwDwITs7 + /sKFfy7HFxUW1p4zq6pqk6dn5rt3W3fugiU7TQ3hpOSUqVNw44N6LXAT00eGmLY7xAwFiHoRW5Dv + 37/XeLz4R3EzZwKBNIbku3dZrCrRI506dxHdzVZaUpLxOF3sUcOG27bzqcrKykoAgLb2z54uVVVM + FRUT0TEYhmVnZxsYGOB7ExkMxpIlizt27Lh3796uXbsCAFgsVlLSjZCQUOFDUBQVCAT1clolkUj6 + +voAABkZGT6f37t379WrV69cuWrAgAE1jmcwmAYGBrNmzezWrRuKouC/SSI5OTmBgL9167azZ896 + e28CANjY2ISEhJiYmNQYRxLT7t03bd68wcfnRmJigP/Bjzk5tY+PjohgMpkHDgfgk7KQJoJwUlKy + gruOj2rKpBoI/lykMtPW5kMBol7EFoRChhVgkFYPnU5fNM9ZXl6e9N9nuYDH625h8c/1G8Ix58+c + CfA/KCNSe8uuZvsfOTJ52rTmTpdI7NmzBwBgJLLhj8FgKCv/lNdMJnPnzh1fvnxRV1c/dSqIyWQu + WrRw1KjRS5cuFSqn1atXDR8+XFtbW/ioz58/6+sbNMy039//UMeOHREEyczMPHIk4OHDtKVLXSUr + eBgMuqoqFcOwsrJfTF5Pn46LjY3ZsMFLIBDo6+ufOnVq+PDhDUgDQZDxEyeOGT/+8sWLvju245r7 + d8RfuKCqSt22a1cDTgSpI1CniwO7YrR5ysvLBQJBS2cBgbQp5OTliooKOxnoCX+GDx4IAMAnpdhs + djWLhf9weTz01/+AKIaSyRThgGoWS0aGgmKocACJRGpvFdxVVVUREREIgvQQmb6l0+miNdTHjwd2 + 69YtNvZ0cXExj8fbu3ePra2dq6urUEfm5+dzOJx581xEIz979qxnz54Ny6pz585fvnwRCAQWFhZH + jx579OjR3Llznj59IjaMTmcAgAEAOnXqbGRkhH+q3rp1c9485ytXrsjJyR04cCAzM7NhOlIImUx2 + mjnzVvJ92z8ZG0WGhV44d7Yx54LUDnFlU0tVcMMde20G447GvzMkp1KpsCgHApEiY8c73rh9h8// + v0Z8kvF47244D9RwgoKCeDyemPkOnc4QOjuWlJS8ffsuLCzs7t27PXtaxsdf4vF4K1asEFa7V1dX + 79u3z8VlvuhuSxRF7927u2rV6oZlRafTvbw89fT0li1bbmlpWV1dDQDYtWuXjIxMdHSMcEMkk8nA + 1+U/fPjg6OhoYWG+ZMniHz9+IAiycOHCPXv21H2b5h/R1tEJi47ZvvnvmMjIWoZt//vvgYMG/7EC + DNIwiDsrqaau1iKSDu7YaxtUVFTQaDQFBYXiH8Xv37/X09MbOHAgrZL24vkLDQ0N2PMGApEuZDLZ + 3KKHpZUV/tOpU+eWzqh1c/LkSSUlJTU1ddGDTCZTKCVLS0vV1dVSU1NiY2PGjx9/5swZN7f1wvlI + Lpe7aNHC7t274/1mhDx8mKagoKCjo7No0cKNGzeILUD/kZCQEDu7EQYGBps3b8b734D/Jp5Xr171 + 4sVz/AiDwaiurlZQUNi+fdvz58+3bNlSXFw8atSorKysw4cPS1FH4iAIssPXb9HSpbWMYbFY3p6e + YnPbKffvpz98KN1k2ifElZJC6rLiLMVVaWgG1CKhgLS3FqirqwMADh482M20m7m5OYqiT58+papR + be1sP2R/kOKJIBBI4xH7jMdA+1rOFuP9+/clJSW9e/cWdZFEUZTFqhJuTOzWrRuDwUhJSd2+fUda + WqqTkxNeGQMAqKysnDfPuXdvm1WrVomGZbPZUVFRkyZN9vbe5Og4obCwMD39Ud2zevLkSXLyvZSU + BwoKCuHh4XhfmS5duvj6+l28eMnT0+vkyVOHDx+qrq5mMpmdO3fu16+/p6dndnZW9+7dU1JSLl68 + qKOj88ezNAwSibRlx86xjo61jElLTUn6rwNQzocPC53nLnaZ171uLcghtUO4BW5JdYJru9p1BjFX + pYlpu0PMUKBpLqLzXGcfHx8qlXot8dqo0aPwyUgZGRnpngUCgfwOeXl5XV1dvO2eELEeAYaGRjIy + FArlp2MLCQBZWblmSpF4xMXFAQCsrKxevHghPFhVVaWgoCCcd6RQKBERkQCAioqKBw8eREVFAwBQ + FA0MPPbgwYOJEyc5OzuLlS2HhARbWFjk5n4aMGDA1KlTHz9+LFoe/keCgk717Nlz8eIleG24QCDY + smVr37598bNYWFgEBASEh4etXr0KRVEXl3k8Hk9dXd3f33/q1KmNfEHqyN6D/m9fv67Fe3Kv7+6+ + /fuHh4SEBQfxeLxR9g74pAOkkRBOSuLqpL4Qc1WamLY7xAwFmvgimpqaNl1wCATyO+Tl5R89f1H7 + mClOTlOcnJonn1ZBYmKisrIygpBFe17T6fQarRwfPkwbNGgwlUp98+Z1aGgoiqIaGhq5uZ8qKipE + nRqvXv0nMzPT3/+Qt/emNWvWXr9+nclk9unTp+5Z7dq1WzjxCQAgk8n9+/cXHaCoqDhz5qx3794J + BAIymbxhw4YNGzY0ZyWrqqrqnoMHnWfM+N2A/C9fhvTry+Px8JuTm0vjtnkIJyXBbwQKhUKpRWUS + 0zOFmLY7xAwFiHoRIRAIEWg/FdxsNjsvL8/Gpg+DQReVkngLGcnxr1+/7tq16759e7Ozs52d59nZ + 2aEoevny5XXr1jo7z8M7cV+9+k98fPy+ffsVFRWNjTtu2rRRS0tr27Zt9fLuFtWRklRVVZ06dfL+ + /fsAgFmzZh08eLBebb6lxZChfw0YNOjxo98u3At1pLKKykh7++bKq41DRCkptJakqlGFHhDNpjOk + 3r4PAoFAII2hXfXgfv/+PYZh1tbWlZUVqqo/v6j/rmtiRkZGenr61KlT3d098N07ZDLZycmpX79+ + hw75p6amIgiprKxs3779eKectWvXTp061cjISFpbfQQCwYULF86fP8fj8fr16xcSEtKpUyepRG4A + bDZ7zrx5tUhJIWPGjZN6AVC7hYhSsmUh5rZLCAQCaaXcuJaIm7sJMe9h0au3jfBm6oMHAf4Hgci8 + I5lC2bZrt2hHnPZDTk4OAMDS0vL69WuGhr/4k4tW4QjR0dHp16//rVu3NDU1x493FMpuAwOD4cNt + Y2NjjI2NDx8OkP3PAV5GRqZzZ6nV19+/f//UqZNMJtPY2Dg4OHjgwIHSilx3IsNCT0dH0+l0WmUl + h8Op46Pg6rYUIbSUFM5NNudJibntEgKBQFojNBptlaurgoICQv5/CQiPyzc16371RpJwzMsXz9+8 + eiVceQQAUMjkrPeZ7VNKZmdnAwA0NDQYDIboAjeT+UurGyE6OrqWlpaOjo5HjgSkpKS4ubnp6xtk + ZGSEhobq6uocPhyQn/8lPDx84sSJBgYGUszz8+fPhw755+XlKSoq7tu3z9XVtaUmjxcsXqKqSv17 + 44a660gdXd2Bg4c0aVbtCkJLSXyBuy4jpbgqDc2AWiQUaLKtBUILSfwX6CgJgTQn+A4l3Mv650EU + FRuGIGQAfkpJpD57+NoYJSUlAAAlJSU6nSFqUS7qTy7KsGHDDh3yj46O8fX1u3LlipvbekVFBRUV + lZUrV/bq1SsmJvrp06empqb79u09cuSoVDIsLy/39z/4+vVrGRkZNzc3Hx8fWZGmly3C1OnTTc3M + Vi9z/ZKXV5fxk6ZMqdc+UUjtEFpK1h1irkoT03aHmKFA019EBEFQFBVzx4BAIK2DdlN2g7d1RRCE + yfxlRZvJZHTo0EFy/MiRI0+fjl2yZEmnTh2/f/+uqKhgbm7u6emFIMinT5/u3Llz7FhgcnKyQCAu + 3xsAh8OJiIi4ceM6hmEODg6BgYGi3b1blp6Wlok3b2309LiekPDHwZOmtuv27lKH0FKy7gvcxFyV + JqbtDjFDgaa/iHhLBlRiOgQCgRAcEmhHZTf4110MwxiMX1a06fSay24QBImMjPrx40dhYaGGhoax + SG/AtLQ0e3sHFEXj4y9t3LixMVmhKJqYmBAdHc3hcHr06BEeHt69e/fGBGwKlFVUAk8FRfbrt2fX + LtH9EmKYdO3ao6FdyCE1QugZGqoaVU1drS4jiVlzLSxFh6HqGE1aoSAQSOuCL+AjIuAzc+0TvCUM + k8kUbZMIAGAw6KKTlBiGnT9/fs6cOcHBwQAAXV3dXr16ffz4cf58Fze3dVwuFwAgJyebkHDVw8N9 + zJixZmYNb+vy/PmzRYsWhoSEUKnUCxcupKWlEVBH4pBIpIVLll7856pRTTO4OJOnQRNTKUPoWckW + AZoBtSXodDr+Fd9xQm0NtSAQSBOhoKBgYGhUWVEuelBN7Zc5glH2DqyqKrEHmnY3a/LkCIm5uTkA + 4MuXL2QyWdSvR8wM6Pz5c0+fPvXz83N3X+/q6goAyMjIOH06dteuXT4+Pl+/fu3SpcuUKVN1dXV1 + dfXMG9oesKCg4NAh/48fP8rLy/v6+q5YsaJV7BGy6tXratJN9zWrk+/eFbuLRCJNmjKlRbJqwxBa + SrZIBTekbVBVVQUAGDhooJycHIqinz59wo/Lyclt3ry5RVODQNoRcnJyqRkZtY8xMzc3M/+7efIh + PhYWFgCA1NQUsSIbBuNnFQ6DQf/nn6uBgYH5+fnCDZRRUZFubm4qKqoCgQC3E5eVlbW1tWtYGnQ6 + /dixo+np6WQy2cXFZd++fQoKCg1/Vs2Ourp6eExsZFio365dfJHF7j79+nUQ2QMAkQqElpJ1r+CW + InBKsm2Af5s3MDB4++YtiUS6cOFCB+MOgwYOun//vvM855bODgKBQGqme/fuioqKGRkZGhoaosfp + dLqwf8yHDzkmJiYYhp08eWLBggUAABaLVVJSYmLS1c/Pd/x4x8bIPh6PFxsbc/XqVT6fP2zYsODg + YD09vUY8oRYDX+y26NFz7coVxT9+4Acnw4KbJoDQUrLuEHNVmpi2O8QMBaR9EWVlZSkUypMnT9Q1 + 1Gs8l7RO1HRwuDV7pP3uOATShmk/jRPJZPLChQuPHz8u6gTE5/P5fL5QIHbq1Onz59zVq1fNmjV7 + 0KDBAAAFBYUOHTq4uMxzcHCYM2dOw06NYdjt27fDwkKrqqq6dOkSFhbWu3fvxj+jlmXAoEE3bt9Z + t2pV6oP7FBmZcY5ws5P0IeIHqqhAEb591P7ZD82AWnso0AQXsZam7a0COVk5Nodd4/HmTwYCaTAv + nj9jVbFEjxh16NCxPr312lXjRADAunXrjh8/Xln5s0UQXs0tfB00NTVDQkIxDFNUVMSPkEikAwcO + 8vn8Bls8vnv37siRgMLCQjU1tdDQUCentlOboqGpGXn69LGAw+/fvVP/da4XIhWIKCUboACgGVBr + DwWIehEhEEhjYDDo0yZMkJeTI/3X7YbP5VlYWl5OvNayiRGZ//bnGAqPMBgMVdVftk5KLmEjCNIw + HVlaWnrkSMDLly9lZWW9vLw2btzYKtZt6gWZTHbz8GSxWH8eCqk/beTPRbotaqTYOIdWSZPKTFub + DwXgLlUIpC2CO2Ozf+1ox/+95x8E/Fc1qKSkJDzCYDCEGyWlCIfDCQ4O+vfffwEAkyZNOnbsmOiq + ettDOIkLkS5tREpKEWKulUMgEAikncBkMgEAoi6SYv24Gw+KogkJCTEx0RwOp2fPntHR0V26dJFi + fEi7AkpJceAyK4Qg8AX/n6YlkUhCNwMMw4THIRDi8O3r19ioSOHNL1/ypBmdRGo/ZTfgv1lJVdWf + kxoMBl2K84Xp6emBgcdoNJqenl5wcPCwYcOkFRnSPmkFXqPNjNgyK14D1PY2jrRn6HR6q2ifyOfz + v3/7TkbIqSmpsrKyZIRMIpFIJBLcCVAjQwf062SgJ/yxNDN99/ZNSyfVjkh/+DAqIpz2H2pq6mvc + 1rd0Uq2V/2Ylf+maKNpEscHk5eWtXbvG13c3l8vdt2/f+/fvoY6ENJ42opCazgyoMVXAxLTdIWYo + 0AQXUU9fr7q6usa7ZGRkSktKpXguqYOiqKKCIpfLBSQwZOgQMkL+8eOHjIwMVY2KIEhGRkb//v1b + Okdi0bVbt9179tmOGIHfDDwSkHT9eo+eli2bVbtCVZXqt/+A2EE5OTlFRSUW65dmNvDLee3gs5Lq + In2DmUzxspv6QqfTAwOPPXr0iEwmL1682NfXV15evrGJQiAAgDYjJaW4wREXNLVEq/ubIDFtd4gZ + Ckh7l2pFRUV1dbWiomLe57yKigq7EXZdunS5lngtJydntP3oiooKaZ2oiUAQZP369X369sGbEQtQ + gZa2Fn6XvLw8YRvgtiCSfjHtakmUsCgoKLzNyWnpLFoZ+KykpubP3VZ0Ol1PT79h0bhcbkRExI0b + 11EUtbW1DQ4Oxtt8QyDSoo1ISSlucJSiGSExbXeIGQpIe5equro6AGCP355upt0EAoGSktLDhw+p + alQqlZqdnd0qmjds2LBhw4YNLZ1F81HjnxOCICiK1mV7aM6HDwuc56iqUl9nZVuZdccAdvbipabJ + FAJpWvBZSdFuNwwGswFlNxiG3bx5Mzw8rLq6ulu3bhERET179pRmom0UDMMiIyNjY2MjIiKMYZfF + OtBG9koSc/cY7pUDQ9U9mrRCCcFbipHJ5MuXLwudNRTkW1Mn2fYD/ueEIAiZTMYrDCgUCoZhddwe + SqfRAQB0Oq2TgR6dTmPQ6ePtR4vunrQyg1O5kNYBPiv5q5Sk11dKvnnzZsmSxcePB8rLy0dGRj55 + 8gTqyLqQnp4+atSo0NBQa2trOzu7ffv2sdk1tIqAiNJGpCQEUjs9LHogSOv7a792/dpfw/7S09Pr + 0bOHr58vh9O6WybGxMb0H9BfT0+vt03v4yeOixU/qaup37x580zcGRIgVVVVXf3nallpGYZhGIbV + pXaVTv/lW42qKrVT5y5Cx2ZVVarYAEizUV1dTfsVPv8XX8mC/PzgkydOHT8u/AkNOlVe9ssCUbva + rlBWVgYAUFL6aQZEpzPqXsH9/fs3T09PHx9vOp2+ZcuWjx8/Tp48uUkSbVvk5eXNnz9/7Nixz549 + y8zMNDExuXPnzvv37wcOHHjjxo2Wzo7QEGuBm8/n5+fnF34vpNNoHA5HTk5OWUVZS0urc5cucIMw + pL0REBCwbfu2sWPGDhw4kMPhBAYGJicn37h+o5WWLHh4eoSHh0+ZMmXYsGGsKta2bdvS09NjomOE + Ay5evDhhwgRW9f/bUUycNBH/RUVV5Xjg8bqcIu97kfD3TgZ6O/38Jk+dJrwpnacBqScsFqu3hTnv + V0/ywUOHxp47L7x59crlgIP+MjI//7B5XK6mptaU/3r3tbfGibiUxHve4DCZdbIoZzKZJ0+eSElJ + IZFI06dPP3z4cFMYm7c9aDTagQMHQkJCOByOioqKu7v7jh07kpOTY2Ji9u/fj2HYxo0bw8LCNm3a + 1Ldv35ZOloi0/GeSgM9PS0tLeZCioULtaWZe40oWiUQy7mg8YODAIUOHjhgxQgEa1kPaOh8+fPD1 + 8126dOnDtIc3km4oKyuvd1vvf8j/+Inj69aua+ns6k1KSkpYWJibm9vFixcLCgq0tbU9PTz37d8X + Hx8/depUfEzfvn0LCwsbeaKhA/oBAFIfPwEATJ46TfQmpEXgcrk8id42NJHu0gAADMMoFIroMqKs + rCwG2tE0pBiVlZVkMln0yB8tyvl8/oULFy5cOM/j8fr06QM3+dURHo8XHh6+b9++8vJyCoWyaNEi + Dw+P8PBwAIC3t3dRUdGaNWtsbGxcXV137949bdq07OxsOLElSUsu+RUVFu3bs2fooMGL5i+ICAsj + k8m/2xGFYdiXvC/nz55bt3rNgH79Nnp6vX//XnQAMedpiGm7Q8xQoOkvIoPBEP5LfK5fv06hULhc + Lp1Bv5Z4zcHBITgkeOLEiVeuXGnp1BrClX+umJiYZGZmamhoJCUlmZub30i6MWjgoMtXLkv3RKmP + nwiF467t2y4nXIM6EtLqEJOSHA6n9v7ajx49mj/fJS7utKGh4e3bt+/cuQN1ZF24cePG4MGDN27c + WF5ePnr06NTU1KFDh44dOzY7OxsAMGfOnJs3b16/fl1fX9/d3T08PNzCwsLc3LylsyYiLSMly8rK + tvj8bTdsWEhQcElJSb0ey6piXbp4ceK48cuWLv308eP/A0qv7FqKlJWWSasqpc2HAk1/EfHv9NJt + PtZ00Bl0dXX10pJSMzOzoUOH2tnZlZeXq6iotBYpLAaDwdDS0iosLLSxsRk0cNDgwYOLioq0tLWk + +3REi2zwTiHDBg0QvQmBtArodLro6jad/tuam6ysrGXLXP38fAEAR48effnyJVyBrQuvX7+eMGHC + 7Nmzc3JyLCwsLl++fOHChSlTphw5cuTkyZOxsbEAgIyMDCUlJVtbWxMTEwBAZGTk58+fiW8k1yI0 + t5TEMOzcmbP2I0aeiYuTXPWoV5w7/952HDvO/8BBLpdLzG6Hmlqa0pq0a/OhAGxZ+StWllbfvn0z + tzD/999/be1svby8xo0d9zDtoaVlq/TctrS0fPXq1V9D/4qKiho1epS/v7+Dg8OjR4+sLK2keJaO + nToJZ27odFpYcNDvPOohRAPFBKI3sdbQj6rpqKqqEpWSNa5ul5WVbd++zcvLs7i4eNWqVTk5OS4u + Ls2bZquksLBw5cqVtra2KSkpurq6R48ejY2NXbhwYXBwcOfOnadPnz5kyBB8pKqqqp+fX0JCwpkz + ZwAAPXv2fPnypTAOn8/ftWvXnDlz8HL7dk6zSkkGg7F6xcq/vb1ptD9PZdUymS+Ex+OdPH58xjQn + Yr7vENN2h5ihQJM5OjEYjNY4kzdhwoS+ffvGx8e7u7tbWVktXrRYIBDk5eV5b/Ju6dQawqKFi3R1 + ddMepq1ds7ZHjx4rlq/49OkTiqJr1qyR4lk8Nmz8kJef970Ir7/Bf8F/YPl2S0Ehk0kkEkXmJ2Qy + mfyrnYJJ164aGpraOjrCH01tbdH6ZQBAu9o6SafTMQwTWhyISUk2m3306NGFCxc8f/58ypQpnz59 + 8vX1lZOTa6FkWw0sFmvv3r19+vSJi4uTk5Pz8vK6d+/ex48fR40aVVlZeeLECUNDwx07dog9yszM + 7Pbt2wAAT09P4S7J2NhYc3PztLQ0Mpncr1+/8+fPtyuHAUmab4vht2/fFs5zyc3NrfFeBQWFSjrN + 19fPokePTp07aWn9v7EHnU7/kvclOzsr/dGj+8nJFeU1zC2/ffNGXYVKq2p9cgHSDAjfjvEvMHX5 + GkMEyGRydFS0q6vroUOH5OTkOByOrq5udHS0qalpS6fWEJSUlM6ePbtkyZKAIwH40+nSpcvZM2e1 + tbWleJaJk6eI1dnAspsWR1lF5d/7D1hVvzROFCudHDvecex4x1qCtLcKbn19/VevXrm6Lt2+fYeR + kRGDQcedgFAUvXLlSlzcaQ6HY21tHR4ejq+9QmoHRdG4uLjdu3cXFRUhCDJr1qwNGzb8+++/tra2 + Y8aMefjwoZmZ2ffv3/l8Pr5YumrVKgCAvb391atXJYtsjIyMdHV1TUxMCgsL16xZs27dOi8vr4iI + iAMHDrRb585mkpK5ubkuc+cWFRZJ3tW5c+fFS5c6TpxgaGTosmC+2L2qqqqWVpaWVpZO06fzeLx7 + d++GhYQ+e/pUbBiJRFJTVn1w//6w4cOb6jlAIM2LoaFhQkJCampqdna2lpbWyJEj624sR0DMzcyT + 7yUnJyd/+fJF30B/xIgRUveKx+tstEWawkERSQS6QLlTT+7fvx8aGrpp06aVK1dMmjRZT09PWVnl + yZMnx44draio0NHROXny5MiRI1s6zdbB/fv3N2/e/ObNGwDA4MGDfX19NTQ09u3bd//+/cuXL/fo + 0QMfhreXvHz5cn5+/uXLlwEA2dnZ165dmzZtmlhAJpNpZGT0999/AwC8vLyMjIyCgoIePXo0derU + yZMn+/j4qKmpgXZGc0jJH0VFC5znSepINXX1jZs2TnVyEnM9+B0yMjL2Dg72Dg6pKSnbt2zNy8sT + G7Bq+Yro07FSyRnSNqj6by5k6rSpLZtJw0AQZNiwYcOGDWvpRKSDjIzM6NGjmy5+RXl5v14/N1+K + eklCi3JI62LJkiUODg7Tpk27cuWyiooKgiBJSTcUFRX9/f0XLVrU3qZpG8aHDx+2bt2alJQEADAx + MdmxY0fHjh03bdr08OHDJ0+eJCUllZeXJ6PybQAAIABJREFUSz5qwIABampqLBbLwsJi1apVklIS + ALBly5bVq1f36dPn/PnziYmJ48aNmzdv3r179w4fPjxgwIDNmzfPnTu3NTbFaDBN/lSrq6sXL1j4 + /ft3seN/DRt2687t6TNn1lFHijL0r7+u3UxylthiXF1dvXTxEuJcP2La7hAzFJC2GRAuIgcNHqSo + qCgnJ5eVlYUfl5eX37FTfDcMpG3wOP0R3DEGaTN06NDh8ePHmzdvZjKZdDp9+fLlubm5ixcvhjry + j5SVlXl5eQ0ZMiQpKUldXX3Pnj1XrlxJSkpycnKaNm0ahmGzZ8+uqKjIz88HADx58svaRXV1Nb5j + csiQIaJep1++fPn4n2nM+PHjHz9+bGpqamdnx+FwkpOTCwsL7e3tu3fvfuHChWPHjhkYGDTj0215 + mlx17dqxQ/gpLmTx0qVhkRGiDUbri5yc3PadO/YdPECm/KJEKysqVBWVCfI/jZi2O8QMBaRtBoTX + P2poaHz7+u1H0Q8fH5/EhERaJe38ufMhISFSPBGEOPy9dbucnLyqKhWW3RCK8rKy/C9fRH9YLJbo + gLzPn0W7Jp46fjzoxImS4uKWSpg4kEgkT0/P169ff/jwYe/evdAc+49wOJyjR4/a2Nj8j73zjmpi + 6QL4pNAhoUkRpEqxIYgKKoKAvYCFZ0EUngWxK/hELA9sNAsoKBbsDXsDBawUFVSKIIgidpr00AIp + +/2xz3wxBUNISID5nRzP7uzMnbvZkb2ZmXvv8ePHMRjM8uXLMzMzc3Jy7OzsVFVVX79+vWjRIgBA + UVERgiDz588/fvz4+PHjWYTMnj0bALB161bmwiFDhlhZWcn+2uYrLS29adOmx48fv3r1avr06VZW + VhoaGhs3btyyZQsWi+1pabuFu8D96MHDKzGXWQrXrFu7Zt06gcif5eKioKCweuVKGvX/gSQk8HgZ + KbH4/6aiqiIor+RuLwoIOhiQpKQkHo/PyspSUlZivyqeMe0hHWTy1KkrPJcwh5CEbjcip6mx0crC + gkb77Q+F1YgRl65dZ5zG3b1zYO9eHP7/4W+oVIqamhojcSLoYTm4WejTp4+oVegCIAhy69atgICA + r1+/AgCmTZvm7+8/ceLESZMmPX78eNu2bX///TejMsMd8+TJk2PGjHn69CmCIBzXuxn07t27uLhY + S0ursLCQUairqxsWFrZy5Upvb28EQVRVVadPn+7u7s5wHe4hCPGF2tzcvJPNr95t4UJB2ZEo4ydM + 2LV7t5/vJuZCWSnpb1+/CrAX/kBj5QhkCbjbiwJCCAYknoHrIcJjZ4D/q+wc6HYjVrRSKCx2JACg + 4ff4XAiC4PASLS1cEyeKyUITRGx59erV1q1b09PTAQAWFha7d++m0WiLFi2qrKzcvXu3p6fnP//8 + w2xKMnj//n1TUxP6Q4Vb4tba2loAgJGRUUlJCWONGwBAJpMjIyPDw8MbGhqkpaW9vLx8fHy6ShYM + wSLEBe4zp079+PGDucRiyJCt/24TeEd/zZlDbm1hLsFgMHtCQwXeEQQCEXMY6W0Ap+Q3EAikm/Ht + 27fFixePHz8+PT1dS0vr6NGjR48ejYiIWL16tbe3NwAgIyPj1KlT3KYq6HT6+vXr0WOGN/fHjx8x + GAzDakQ9smk02qBBg1CjE0GQy5cvW1pa7tq1q7GxcdasWS9fvgwICOiZdiQQnilJJpNPnTjJXCIl + JbUvbD8vC4vm/UwMtDRZPub9TNpoUt/USPs9SnnC/Xg+HHogEEjXhTm9jQKBoK6uAfeWQSDdlfr6 + +oCAgOHDh1+/fl1WVnbr1q0ZGRkfP350cHAYMWJEenr69OnTAQBUKvU1WwBBZjw8PFhKrK2tEQSx + srJiLtTR0VmwYAF67ODgsGzZsuLiYisrq8TExBMnTvTwpOfCMiVv37xVVfXb8qKn1zIdXV1e2rLn + JiEQCCQSqe1Wjc2/7eOm0+mykvAtAoGIOzQa7WVaWuDOHYvdF0ybON5h9KjZM6evWbH84rmz5eUc + ItG2wZeSMgKBiLrd1JNIu0JCJCWl0FPodiPmsCyC02k0bjUhECqVeuLECQsLi/DwcAqF4u7unpaW + hsPh9PX1J0yYICEhMXv2bOZgDu39SWlpaSkhITFixAjmwuzsbEZk36ysLF1d3VOnTsXHxw8bNqzj + d9TVEdZeyRvXrzOfysvL/714MY9tEQT5VFzKPA35RzvS09OTTqcb9zUqKWaOOoQ0NjcDAJYsWcJj + 14JFPMPuiKcoVJpgt0vq6Opwy20jJyf3+1CBiAAqhXLh/LnIA+GamprjJkx0dVuopq4uJydXWVHx + /fu31OTkPcFBFpaWvpu3mpia8iiTRKpjrGWPGz+B+RQiEiTweAwGw+I0w5I40bRffx09Peb8tzg8 + XknpN4e5Hux1A/mNxMTEf//9F40M4+DgsHPnzsLCwmnTppmamjY3Nx85cqSuru7w4cM7duxAU9fw + DiNqYVVVFYVCQd13Zs+eHRQUBAD48OHDsmXLAAAEAmHDhg3Lli2DoccYCMWULC4uzszIYC6Z6zqP + j0QdJBKJl/lIAMCe0D1tXN23d197uxYIqNuHQIytbi8KlSZAw5RCodTV1VlZWSXEJwAAbt68qa2t + PXz48OTkZNf5rl0xK3c3o+Ddu+VLl+jp6527dNm0Xz/mSwaGhsOtrWf9NZtCoVw4d9Zt7uwZs1x8 + N2/hZcsKGgYIhd0LB9L5yMnLP3n2nPT7jzqWxIljx48fyxaQhRnodgMBAOTl5W3duvXJkycAAFNT + 0507d0pJSfn4+DQ2Nh48eNDW1lZRUfHWrVt0On3Hjh1paWlz5szhRSwj2+GBAweIRGJdXd2LFy96 + 9eqVkZGhpqY2atQoOzs7AEBLSwsej1+8ePGmTZtUVAQZb6QbIBRT8nlqKstv0BkzOcSL/yOo9clu + TT5++Ig9l7eaupqTs/PJ6Gg6HQEAYLEYOTn5ocOHoSlKEQQ5cTxaVk7Wdf585la3b94ikUgL3Fmj + nQsE8Qy7I56igKCDAbW0tAAAvn//rqunS6VSiUQi+otTUlJyyeIlh6MOC7AvSHt5+CDR18c7YOeu + ac7T26gmISHhsWjxTBeX1cuXe7jNjzp2XL7NXe0s6W1KS4oZyW9gthsRwuPWJgiEG2VlZYGBgRcu + XKDRaL169fLz8xs+fLiGhoaZmRmaApuRmoTxSjp27JiEhAQ6I87tPdXa2goAKC8vR0/pdHpmZqah + oSEallhSUhIAcPbs2YaGBgDAxIkTd+zYYWxsLOR77ZIIxZREHfIZ6OnpmZi25TTDDW6zko8fP3ry + +AlaAaHTiYqKAIABAwc4OTuHBAUTiARJSSn0aguZvDs46K/Zs+k0enBgIADA0dFRXeO/9w2ZTN68 + aZOkpKSQTEnxDLsjnqKAoIMBycvLAwDSXqQNMhuEw+H09fRra2ubmppkZGSCgoKgKSlCMl6/2rTB + 59S5C2aDB/NSn0Agnjx7bpvfppVey06ePcejOx0CkNevXklLS/e0WMEQSHeiubkZDbjT2NgoLS29 + Zs2apUuXHj9+3MfHZ/z48Vu3bj137pyvry97w1u3bs2cOfPatWsAgJSUFI7Cra2tAQA+Pj779u2j + UCjr169nnm6cPHkyAKCoqGjgwIG7d+9G5yYhHBGK2827/HzmU6sR1vzJYcxKspTvCgx8lvbiWdqL + WS4uY+zt0eNj0dHo1fCDEWjJm7e5bgsXhAQFMzZMKCopMQ+ptBcvYKjq7g2RSAQAYDCYe/fuoT80 + IaLlZ3n5iqVL9x84yKMdiYLD4XYGBSMIsicosI1qLG43IXv3Q7cbCKSLQqfTY2JiLC0td+/e3dTU + 5OLi8uLFiz59+tjb2//8+RNBkMTExD179nz48AEAQCaTfXx8WJozVkft7e0ZhdG/TAUAwO7duwEA + V69eRd8OjGyHXl5eAIDnz59raGhERkYmJydDO7JtBG9K0un0r19+Cw/e/1espvaCzkfysleSI1gs + dqG7R21NDcPBwsbGJiUpmVEhOSlp5KhR/AmHdBXq6upQ5xvmfyGiYl9oyMy//rIdY9/ehjgc7uDh + qKtXLhcxhQhmh9lktHd0hBYkBNIVefbsmYODg5eXV0lJibW19YMHD2bMmDF9+vRbt25dvXr10KFD + AAAEQc6fP0+j0RAEGTBgwIkTJ1iEsJTQ6XRTU9MNGzagpwQCwdTUFABw9OjRfv36AQBIJBLqWBMT + EyMjI+Pr65uZmenm5obFCj3FdFdH8F9QdXU1y4qSvr4+H3Ky373/VFyK/su3Ms3NTQAAWbn/tniP + trN99uwZI2NSclKS3ZgxfAuHQCDt4mNh4aOHD1asWs1fc0VFRa8VK/cEB7VR50tJWU7Be/QYdbth + nEK6ND05cWKPoqioyM3NbcqUKdnZ2Xp6eqdPnw4NDXVxcWloaGhqarp69aqZmRmj8qhRowAAFy9e + lJaWlpGRYc+mzcz69esVFBRwOJympqaUlFRBQQFqnNTU1KDhAgYMGHD//n0AwLx58zIzM/38/GR/ + 9w+DcEPwpmRDfQNLiZKyMh9yzPuZMD7taoggdBqVRqVSv339uick1NDQsFevXuilgQMHYTGYt7lv + AQDfv32j0ej6BvyYuTwinmF3xFMUgHmxewA3rl2dM89Vof3BHBgs8Pj7eWoqmseMHeb0NgCAE8eO + DjM3Y5xCui7QgbsnUFNTs2nTJmtr69jYWCKRuHPnztjY2CdPnri4uKA73aurq//991/2hrKysuXl + 5c3Nza9ecU6UWlFRAQA4c+ZMRUUFjUYjk8lYLJZhJlZXV6OmZH19/efPnwEAUVFRmpqawrrP7ojg + TclmcjNLiawMn3Y9fwvcHgsWmvTta9rXyMFuTGZGxo7duxiXMBiMzejRqSnJAICkp0+FPSVZVVlV + VyuY9bVuLwrAlNk9gMT4+xMmTe6IBGlpaRvb0Y8eJHK82ktNLfLI0S8lZWhIIPSAcQqBQMST1tbW + w4cPW1hYHDlyBADg6en5/PnzlpYWW1tbAoGAGoje3t40Gs3X17e2tnb27NnMzW1sbFCfiqdPnzKX + r1u3Dg39mJWVhaY0RCvExsYy0mIBAGpqapR/TXjBJHn8Ifh5IEk25wYKpZU/UQQCIfvdewCAgVY7 + fh+sWLXK2MQYg8EQiYrmFuaoJy8DWzu7yzGXVqxalZyUNO/3wEACRzzD7oinKCDoYEAM2MMjQ0RC + PYlUVlY2iGlxij9GjrLJeP161l+z2S/t2R++ZsXyzRs3Mha1zUxNAABwjVvkpKYkr1mxnMbDn4vB + FkPOXrzEXg7/F3dX7t696+/vjwb4mzRp0o4dO4yMjHR0dEaOHPn48WM9PT20GjoAlJWV/f39Hz58 + yCyhqqpKTU2turpa9/ewU6dPn0YPtmzZcu7cuenTpxsYGACmXNsotbW1LPHwIe1F8KakrJwcSwnf + 4aD5c7ixsrYeZcPVmcbGdrSfr29tTU1mZtaByMicN2/4040XxDPsjniKAoIOBsSAQCA0NjYCANDY + s6hPN6TzKSsr09TU7Hisac3eWk8fP+Z4aYyDA0t6G5jtRkwo/vEDi8UePHa87WopSU/v3LzJXg5D + lHdLsrKyNm/e/OLFCwCAmZnZ7t27cTjcyJEj58yZM3/+/IqKCoYdyUxERMS6dev2798PAEhISAAA + VFRUsMQMX79+PQBg8eLF165dq6uru379OnOO7PDwcACAm5vb+fPnAQA1NTX8eXRAGAjelFRSUsJi + sXSmFFjfvn2zGDKED1EEAqG9GyX/iKqqal8jo6jDUQMHDpSRkRGscIh4Isf28wbS+VRXVSkLIkWE + qqpqRWUFx0vs6W3g0nZnQkfo1VVVaDxnFqqrq6WkpGxG27YtoayklEqjffv6tampkUL5/2/Luto6 + oiKcN+o+VFZWenh4fPz4cfTo0Xg83svLy8PDY/v27dnZ2RQK5e7du2ZmZqmpqWjgntevXzO3pdPp + d+/eRY/RKD+VlZWqqqrMddCk2xcvXkRDAar9+ptw/fp1AEBAQAAAIC4uDjVUqqurh/BlonCkqqoq + JCSkp81ZCN6UlJaW7t27948fPxglHwvbCt7RBrwnTmwXdnZ2p06e3OC7UbBiIRBIGxCIBFKdAP4v + 19XVEomKHC99+/qVkd4G/J78BiJsCIrEn+XlQwZxDf02cNCgPwpR7dWruqrKdoQVAACPxzP/CBxp + YyMQPSHiQGNjY1pa2sCBA5cvX+7i4rJ+/fpjx46tWLHi+PHjGhoaLS0t5ubmaBBoZ2fnpKQkln1K + aWlp6DQkmpCmsrIyJycHADBs2DAAAA6Hmz9/flRUlKurK5VKPXPmjLS0NJo5ZfHixQAANFblxIkT + 0Sg/zHslO0JLS8uxY8cOHDgwc+bMrKysjgvsQgjFZ9awb19mU/LVy/Q2KnMD3SWJ0q69kn9ktJ3t + kagoWxhxtCdRVFQkahV6OurqGj9/lndczs/yn+rq6hwvZWVmHD91etyEiQAAvd4azFOS0KwUNuPG + T8j78JFKozEXysjIoC97Hhnj4FD0vVjQqkHEDl1dXSqV6uXl5erqOn78+Pj4+HPnzp08eRL1qiaT + yTt37oyIiCgoKMjMzMRisX369Pn69f/xqlmcYzIyMtC8uKjhce/ePTStdmVlpbOz85kzZzw8PG7f + vg0A0NbW9vf3d3FxYd4ywQgGxDcIgty+fTsgIMDU1PT+/ftGRkYdkdYVEYopOWz4sCQmR6o32W/4 + 2KPGy9J2wI7tLCXvizjPgOLwuI9fPqPHVtbWzMdZuTntUox3xDPsjniKQqUJfLvkxUsXAQB1dXUT + Jk5Ad0wCoW3KhLSNkrIyDof79vVrBzMyZ2Zm9Ovfn+OlsIjIlZ6egMnPBrrdCBUymdx2zP/m5mZm + V1lB0dDIYQ0d0uWYO3fulClTgoODHR0dN27c+Pjx43///Zf5RRAXF9fY2Ein01ncZxmgQayvXr1K + IBBaWlpMTEyys7OtrKzQq3l5eejE9q1btxQUFNavX79ixQp07ZuZDpqSr1+/3rp1a1NT08GDB21t + /7CFo7siFFPSyvq3TIkUCuVeXNw8V1cem2OxGAMtTQwACADov8JY5u4E0Og2AjG2ur0oVJoADdOa + mhoAgI+Pz+dPn6urq8fYjxkyZEhiQuKXL1/4ywgP6SAYDGbsuPEPEuIXey7jWwidTn+YmOC1YiXH + q6Nt7aDbTachL6+wJzio7YjxEEjbKCgo7N69e8GCBRs3bjx79uyePXv+/vtvFxcXS0tLAMDChQsj + IiJqa2uXLl26bt06RitGAuTq6moAwOTJk9XV1aOjo2fOnJmdnQ0AQLdIfv78+ePHj3g8fuHChX5+ + fowI0wy+fv2Kw+HKysoOHz6M+mW2S/nv379v3779+vXrkZGR8+bN68lJcYRiSg42N9fU1Cwt/X+W + mjOnTs+ZO5fHL1peXoFEIqHbItB//2hHZmVlIQii1qtXdXUNczmNRrUYMiQzM1OAm2p5RzzD7oin + KCDoYEBKSko4HK6pqUld47/F0PLyckWl//bYweBhImGqk7P/1s0ei5fw/f0/SIjX7N2b27wmdLvp + TC5du97U1CRCBeS4zFRBuhympqZ37ty5efPmkiVLsFgsgUDQ09P78uXLsmXLNDQ0amtrPTw8mE1J + Jycn9MDOzo5IJFZXV3t6ekZHR69Zs+bff/+Nj49HI5nTaLRx48bt3LkTTZDIjq6u7tOnTx0dHb99 + +5aWloamT+SF+vr6/fv3nzlzZuHChQQCYfLkyT3ZjgRCMiWxWKzTdOejUUcYJR8LCx89fDiuzaRG + DJh3SfLIGPsxOCxWTVmV2bKhUCm1DfV1tXX2DvYCjKTNO+IZdkc8RQEhrDtXV1VzLK+oqBDIJmtI + exlpY6OurnH1csxcV35CulKp1D3BQf47d3GrcOLY0RPHjjJO4f5IoSIhIdHT3FQhQmXGjBkTJkwY + Pny4h4eHmppadnZ2UlKS9e+LnPHx8QAABweH5ORkCoUSExNjaWlpbW2Nzjjm5uYCAObOnQsA6N+/ + /65duxwcHNrudNCgQT9//uRdSSqVevbs2aCgoMrKyk2bNkVHR8+YMQNGgxGWHT133jwc/reJh+DA + wNZWPmOV84KcrCyLLdLc0iK87sQB2u873PmGOXJTT6BXr15wVlJUbNq6bV9oyNcvX/houzc4SFdP + b7QtV4c5lvQ2KqqqF65chdluIJCugqys7I0bNw4cOPDgwYPY2FgajcYS5QeN41NUVIQuYaMWJJoO + UU5Ozs7ODgCgrq5+4MCBlJSUP9qR7eXBgwc2Njbe3t7ownp6evrt27fDw8PZ91/2NIRlSvbR0Zk6 + dRpzydcvXw+EhQmpOylJSSn8b36CVDqtlUoRUnd8Q1QkdnwavKS0BBWlrKIMfm0K4Q90z7KSshI6 + s4gmKu0IWCwWFfX27dsOigIYQFQkwtDEnYN5P1MDrd6Mj3k/U47lzJf4Y+CgQes3/LPEY2F7tyVd + u3w5/t69fQci2q5mZmpi9msvbGTU0eVLlpjBrbEQSNfB2NiYRqNdv34dXW5GTclVq1ZhMBgcDofO + Sq5YsQKtrKOj09TUhC5kNzY2SkpKbtiwITMz093dvb3zBSUlJUQikeGdyUJ+fv7MmTO9vb0LCgo2 + bdpUXFxcWFh448aN/lxcAHsaQlzdX7V2DUsYiONHjz198kTgHX3+/FlBhjUGdVNzM4Ig4jP5xNCE + Tqd30JqkUWkMaVgstiNzk8zK4HA4Kq1DS8yM0PQ4HK6DM6Y4HA7dJytWD7EbQyKRPhWXMD6M3cks + 5cyX+MbVbcGESZOnT5lc9JGniLMIgkSEh+3fG3ri7DlFRc4RJZlu5P8W6ohRo5hPIRBIlwON4/b5 + 8+f09HRXV9cRI0YAAJYuXYpevXDhwpAhQ2pra8lksoODg4SEhJ+fH385KdAtj+bm5izl5eXla9eu + nT59+ogRI0aNGoXBYJKTk8lkMst0aQ9HKHslUfT19RcvXRp16BCjhE6nr1m16sKlmEFmf45VyyOV + lZWLPf5mmbtqpVBaKK0AABqNRlQk4vFCvM02YMTKYdlNSKfT0RLeFWtDFOpW0nFRNBoN3ZssEFG2 + drbtEgV+BQNi33kp2ofYczDQ6v3HcgKBIJC+NvhuqieRnKdMWrl6zd9LlraxPJT3Njdwx443b7If + J6eqcQknyQzzWja7Fw5E2LxMS7t988bkqdNGjR7NXP4qPf3Rgwc/vn+Xk5cbOGjQX3PntfHQuQlh + cOHc2ffvCgz79nVftEjANwARM9LT0+Pj4yMiIubNmxccHOzu7j5lypTJkycDACQkJFauXAkAGDJk + iJaW1pMnT5qbm/nO1V5XV4cgCPOSOplMjoyMDAsLQ+c7o6KiGhoaFBQUSkpKrKysZs6cGRQEwxf8 + h3BfzytWrXz44EHhhw+MkqbGJo8FC46fPDHE0rLj8ktKSv5esPAbU+RSAACBQLhzL05bW7vj8jsI + GisHihKJNEi7qGpsBAC4L1wIADhz9iy38qrGRhUBZaH0XL7i4vlzaS9enDl5EovDhkccGmhmhgYo + ptPp5WVlSU+f7N8TigEYGVnZefPdeLEjWfxs7t29w+yFAxEq3799C9m9+17sXQBAby0tZivwYNj+ + iLAwqxEj9A0M3+W9vRoTc/nixet3Y6WkpHgXwiAlKelfPz88Hq9vaAhNyW5Pc3OztbW1tbX1o0eP + fH19jYyMXrx48fTp07S0NAqFYmBgMHDgwMzMTFlZWXt7e01NTT4mHRobG8PDw0+cOLFo0aLc3Fw5 + OTk6nX7lyhV/f//y8nJTU9OhQ4devHhRUlJy/fr158+f79OnT3Fx8bFjx6ytrZ2dnYVx110O4bqv + y8jIHIiIYPn1WVdX5+624Ob1Gx0U/urlK5cZM9mzmASGBIuDHQmBdDmuxFy6EnOJ9/KOoKWtPXnq + NH19/b0HDpQUF+/euX3Y4EEDjAytLMxN9HVnTJ3yPDX1Z3n5ruDgutqaRUs9eZHJ4nYzYpRNwuOn + 0O2mEyh49278GDsqlbInPJz96mBzi8epz85fvrIzKOjanbvOM2fm5+U9S0lulxCUpqamLb4bZ/01 + u7eWloDvASLeODo6Pn/+3MrKaty4cTExMaqqqk5OTnJycvn5+aGhobGxse/evZszZ067ZNJotLNn + z1paWn779i0lJWXr1q1ycnLPnz93dHSMjo4uLy83NzdPTU2NjIysrq7eunXrwYMHvby8MjMzf/78 + aW5u7uHhIZx77XoIPRKSsYnx3rD9LNvdmpub//HxWb9mLYufB51OnzR+XN8+WgZamuinbx+tyePH + sUxZNzc37w3d4+Y672c5ax621WvXTJw0SUj3AoF0V/Q1NVXk5GQlJGUlJFXk5BhTj9zKBYL3Pxsr + KytXLfMEANyOu59XWPQyO+dOfHzBpy9pmVmBIaEAgCOHDm3auk1Tk9fUqcxuN/sPRvw13Rm63XQC + yirKF65eO3LipGHfvuxX7ezt++joME5N+/UHADTUs2asaVsIyt7gIDKZvNnfX0CKQ7oSkpKS69at + S0pKysvLGzp06N27d+fPn//ixYvJkye/fv0ag8FYtnO109bWNiYm5tKlS0ePHtXS0ioqKnJ1dXVz + c5sxY4aqqioWi83Pz58yZUp5eTkAwM3N7fbt2/Hx8U1NTePGjcvOzm5XUtDuTWfsP5s4aZL/ju3+ + W7exWIR379x5+uTJ34sXLfTwQHfTt7a2Fr57R6fTCQQCGl2yqrLSymIwhUJBn1lra+vVK1eOHo5C + E26yMNd13hqmKKYQCIRHst8VtKtcIOjq6UUeOUqlUvvq/LeMICcnx9gyL6+gAAC4fuduu2TCbDci + QU1NXU3tzzsQAADNzc13b92UlZUdaWPTXiGZr1+fPXXqYFTUH72vIN0YbW3txsbGPXv2PHr0qKio + SEJCAgBw+fLldk1JkkgkLS2tTZuaxOpGAAAgAElEQVQ2TZs2DQBQU1MTEhJy/vz5xsZGBEECAgLG + jBmDIIiFhcXAgQPNzc2nT58eFRU1fPjw2NjYCxcuvHz5EkGQR48eCesmuxqd5MrgOn++lJTU5k2b + aNTfHHvr6+sPhh84duTo2HHjpCQkqyorMZjftvarqKoCAFpaWtLT0h4+eBh3925tbS3HLhYtWeK3 + ZTOMHQOBdC0E61AF3W7Ek4T799/m5FRWVjx99EhLW/vMxUuqbFns2qa1tXXTBh/HceMm/x5mDtIz + 0dbWPnr06OLFiydNmmRjY3Pz5s0n7YkPQyAQ8vLyAACtra0nTpzYt29fZWWlpaVlaGjot2/fCgsL + b926tWfPnnPnzp08edLY2Pju3bseHh5WVlYYDMbNzc3Nze3gwYNCu7muR+d5xc5ycenVq5f3uvW1 + NTUsl8hkcuzduwQ5eXtbOwQr0djcgtDphjo6AAMwGCwWLzXMYkgbqVAkJCQ2b926wH2hkO8AAoEI + BQKByDEzTXsnFFmEsCS/gYiQstLSD+8LGhoaMRjM50+f4u7e6TdgAOplxSMRYWHlZeXnLl8RnpKQ + rsWMGTPi4uJcXV0jIiJMTEz69OnTXgmxsbH+/v4GBgarV68OCAiYPHmypaUlukpeV1fn7++/bt26 + N2/eFBYW0un0Fy9eWFlZCeE+ugOdGmDF1s7u7r04n3XrX6anc6yARiVEAAZgcYzZRTqC0Lnbkfr6 + +vvCw80GmwleXQgE0inkFLQ7VypH/pozd9mKlX2NjAAAer01mGcoYRJF0eK+aBHqbU2n0y+eO+u/ + ZUs9qb4N9xoWCt69O3L40M7AIHUeHPkhPYfo6OhBgwZ5e3sHBga2q2FWVtbGjRuLiorq6+tVVFQC + AgLU1NRCQkLS09NDQkL09fV37969bNmyzZs3Y34xaJDAghh2Pzo7AbmmpuaFmEshe/eoqKh0UJSM + jMza9evjEuKhHQmBQAAAe8LCZ06byuxnw+yFAxEHsFism7uHkYlJYnw8763i7tyhUan7QkOsLMzR + z4/v34s+frSyMN8TDGP7dT2ys7OVlZXbzmTBY6blxMREMpk8ZcoUHrsuLi5eunSpk5NTfn5+Y2Mj + hUL59u2bs7MziUTy9vYmk8lDhgzJyckBAOjo6Jw/f760tDQnJyc7O9vR0ZHHLnogIgj7jMFgZrm4 + TJ48+dLFi9HHo9m9sP+InJzcvPmuSzw9Ybh5CIQbKqoq7NtC8Hh8947fCd1uxB8qlVJXU6PRm1ev + fACAy5w5Jv36MZfs+HcbHo/f/K+/iQn8qdD1UFFR6d+/v4ODw549e4YPH85e4c6dOwEBAQQC4Y/p + tTQ1NXlM+cuIH1lTU2NoaBgTE2NgYEClUtevX//8+fOIiAgvLy95eflp06bZ29tXVf33d1JaWloL + Rp76EyLLICIjK7toyRL3v/9OTUm5c/t2anIK48lxQ05OzmqE9ZSpU8dPmCAjI9M5ekIgXRSGHYnB + YBAEqautA2x5iboZ7H42MKJk50CjUnNzcwAAHwreAwBKi0uyszIxGIzZYHMMBjPJ0XHilMmj7cao + q6v//FkeFRFRXl6+bsM/vAvR1dPT1dNjrrwvJFhKWnqqk1Pn3CBEsPTp0yc2Nvb69eseHh52dnbb + t29X+/XftqamBofDBQUFhYWF2dnZCcRbn0ajXbhwYdeuXfb29itXrgwMDCwtLT1//ry/v7+kpGRk + ZKSvr6+fnx+VSg0KClqxYgXqFQ7hHQzfWYYEC4Igqiqqx48d/Wf9eoDBSOAlrEaOeJaSjMdLUCiU + wJBQYxNjMzMzHMyeB4HwBsNqZDEl0YPuh15vDdVevSrbnJ9gWJbd+HsQCR8KCiY6OrAUYjCY5xmZ + 6urqhw8ePH/2TFlpKVqub2Cwau26GS4u7RLCUj7WdrSUlFTcg4eCuwmICGhsbAwNDb1w4cKGDRuW + LFmCx+NbW1uXLVuWkpKClqiqqrKHbVFUVOQWy4WdJ0+e+Pr6FhUV0Wg0PT09MplcU1NDJBKVlJRw + ONzq1avnzZsHAKisrDQ2NqbT6RgMJjAwcPny5QK+1W6NuJiSAACiIvHDhw82w4bKSElhcbjsd+/N + +5nQ6HQ6nZ6V9w7GAoVA2gXDlGS2mbqxCaXXW8PVbYHXylU6urqAi9sNNCVFSHVVFYlEUlNXb5fj + NjcoFAoGA/B4OHvUHSgsLPT19U1NTb1x44aNjQ0A4MOHD76+vuXl5fn5+XybkgUFBVu3bs3IyKBQ + KE5OTjExMY6OjvLy8lJSUomJifr6+vn5+dLS0pmZmUpKSkK5sR5DZ7vdtA2CIFgMlkKlkkgkAy1N + EolEpVCoVCqMFgmBQP5IYOieqRPGQ7cb8URZRUVPX18gdiQAQEJCAtqR3QYjI6MbN260trauWLFi + yZIlpaWlxsbGN2/e9PPzU1BQ4ENgRUWFt7f3tGnTzM3Na2trDxw4cPjw4erq6rNnz5aWliYlJe3Z + syc3N5dIJNbV1e3YsUPgd9TTEC9TkkggUiitUlJSBALhU3EpgUCQkpKitLbCjQsQCN8QFYnde4sk + MyRSXRunEAhEnElPTzcwMLCxsTlw4EBra+u0adO+f//eLglkMjksLGzIkCEXLlxYsGBBVFQUFov1 + 8vIKDw+nUCgyMjLx8fEBAQHbtm2jUqnjxo2j0+mjR48W0u30HMTLlAQYgMViGB5bJBKJTqdjsRge + gwJAIBB26mrreshiLup2wxyl8ktJmaCCVkIgEOFBoVDwePzJkyf/+eefR48evXjxYtSoUY8fP+Zd + AoIg165dGzZs2Pbt25ubm1taWs6fP6+joyMtLe3t7R0XF6etrY1m054zZ86rV6+2b9+enJysoqLi + 7OwstNvqKYjXXsnS0lIrC/NWMplCoaCFEhISUtIyGblv4cQkBNIueuBeyT/WgXslIRCx5dOnT35+ + fkVFRSEhIY6OjgkJCX5+fgMGDAgMDGTJZMO+VzI9PX3Lli0ZGRlycnIBAQFTp0798uWLr6+vqanp + 4MGDt27dKisri8aNgomzhYF4zUrKSMs0NTRQKBR0gRsAICMj09hQD+1ICIRves4C95eSMsYHAPD3 + 4iVpmVmMUwgEIs4YGBhcvnx5165dPj4+c+fO7d+//4sXLwYPHjxmzJjQ0FAymcyx1ZcvXzw8PBYt + WjRt2jRJSUkpKalBgwZpaGhYW1vfunUrNzf3+PHjdDp95syZmZmZ2dnZnXxTPQTxMiW/fv0CAGBs + syUQCAiCYDBYuMANgfBNz1ngBr/72fjv3DV+zBjodgOBdCEmTpz48uXLMWPG2Nvbh4WFrVq1Kikp + KS8vb8SIEfG/Z0iqq6vbtm2blZXVrVu3xo4du3v3bklJycbGxpkzZ+7cubO6ulpJSen58+d79+7F + YDBnz54FAMBQMEJCvExJPT19+3HjGxsbGR7cjY2NE6ZMgY8fAoHwAnS7gUC6OpKSkl5eXsnJyV++ + fBk5cmReXt6ZM2fCwsL8/f3nzJnz6dMnAMCxY8csLCwiIiIAABgM5uHDh+7u7srKytra2kuXLo2K + irKysqLT6QAAR0fHmpqa2trazMzM0l/BTSGCRbz2SvacuRMIRNiwLGr3hBDlLIEkF3su81qxEk1+ + A+NKQiBdkeTk5I0bN+rp6QUHB2tpaR05ciQgIAAAMGrUqJSUlKCgoGXLlmEwmO/fv48fP75fv37O + zs7r1q2zsrIqKirCYDAfPnwQ9R30CMRrVhICgQicnhOWVa+3BuODpt62HWHFfAqBQLoWtra2KSkp + Y8aMcXBw2LZt26JFi2g0mo+PD4VCwWAw4eHhL1++BAD06dMnMTFRTk4uODgYQZDevXtXVFQsWrRI + 1Or3FOCsJATSPVFRVWGk4WaAx+OrKv+Q7L6L8kcPbgKByAgMBP/aQCBdi5KSkq1bt7569er79++K + iopYLLaxsdHGxiY3N7d///6XL1+WkpICAGRkZKDRIjEYzNu3b7W0tESteI8AmpIQCKQ7MGr40OIf + P1B70czUhESq2xd+cNbs2Rwrw782EEhX5ObNmytXriQQCCtXrkxJSXn06BGaymTGjBlBQUGi1q7n + Ahe4IRBId0BTs/e123fQececgvf+O3e9zc0RtVIQCESQzJgxo6Sk5MKFC6GhoXJycjQajUAgVFRU + HDlyRNSq9WjwolYAAoFABEBpaYmLsxNzyc7AYFEpA4FAhIelpWVBQUFoaCgAwNzc/OvXr3g8NGZE + CVzghkAg3YGKnz8lpaSIxP/ca0qKixWVlGRlZTlWhn9tIJBuwOvXry9evHjmzJn8/Hx1dXVRq9Nz + gaYkBALpccC/NhAIBCIo4F5JCAQCgUAgEAifQFMSAoFAIBAIBMIn0JSEQCAQCAQCgfAJNCUhEAgE + AoFAIHwCTUkIBAKBQCAQCJ9AUxICgUAgEAgEwifQlIRAIBAIBAKB8Ak0JSEQCAQCgUAgfAJNSQgE + AoFAIBAIn0BTEgKBQCAQCATCJ9CUhEAgEAgEAoHwCV7UCggAGo2WlJRUVl42wnqEvr6+qNWBdJRX + r159KPwwcMDAwYMHi1oXSBcmJycn922uUV+j4cOHi1oXCAQC6bZ0+VlJMpk8ZcqUwKDAlOSUCRMn + XIq5JGqNIB1izZo1y1csf5b6bMHCBf7+/qJWB9JV2b5ju9sCt2epz1auWrlq1SpRqwOBQCDdFnGc + lVRRVaFSqSyFeDy+qrKKvfLx6OOavTVPnjiJwWA+ffo0dtxYp2lOcnJynaIpRMA8ffr0dcbrZ6nP + pKSkGhoaRtmMmjVrlpmZmaj1gnQx8vLyrl69+uL5CwUFhZaWFsexjk+ePLG3txe1XhAIBNINEcdZ + SSqVWldbx/gwCjlWzs3NnTRxEgaDAQAYGBj06dPn48ePnacrRKDk5OY4OjhKSUkBAOTl5UfbjH6T + 80bUSkG6Hjm5OTajbBQUFAAAUlJSjo6Ob97AgQSBQCBCQRxNSQZERSJRkdh2HVMT06SkJPS4pKTk + 69evcLtk16Wfab+U1BQajQYAIJPJL9Je9DPtJ2qlIF0PUxPT9JfpZDIZAECj0VJSUkxNTUWtFAQC + gXRPMAiCiFqH/yAqEtE5SMYBoxw9YC5k0NjYOH7CeE1NTRNjk5u3bq5bu87T07NzFIYIHARB3D3c + v337Ntpm9KNHj4YNH3Yg/IColYJ0Sbx9vF88fzF27NjUZ6laWlrnzp5D1y5QWP7IQCAQCIRvurwp + CQBoaWmJjY0tKy+zHW07aNCgTlAVIjwQBHn48OG7gnfmg81tbW1FrQ6kC5OSkpKVnWVqYjpu3Dhm + OxJAUxICgUAEh1ibkiyr2/BPPwQCEQjQlIRAIBBBIY4e3MxgMGJk7AoPfT29urrfXmxEIvHzly/i + 1pDv7iBCpZOfZuc3hEAgEIjYItamJMe5yW5JXV1ddU0Nc4mykhLjuI0XcCc35Ls7SAdp+7vl9lz4 + ayWShhAIBALpooi7B7eikqKotegkzAcPVlZSQj/mv2d5QV/AOjo66KmOjg7z27rjDc3ZksrU1dUx + t6quqWE05Ls7SEdo44mgcHwuvDwRjsOAv+460hACgUAgXRSxnpWEMJPNFBivXXM5/DXM5jcOH996 + Qtqmk5+IQLrrfMhk8tFDkT9/lsvIyPy9xFNLW1uEykAgEEhPQKxNyZ6zwA3YXsDsr3zzwYO/ffsG + AGDM+gi2YRutmGvy3R2kg3B7IihtPJe2nwg3Q1Mg3bWroUC4F3s38kC49chR+W9z6+sbQvbtF6x8 + CAQCgbAg1qYkUZHIEsKjG8N4AYN2GmEdb8jxlS/w7iBCRSDDoDO7a29DHmluapKUlDwXc3nRgvnk + 5maBy4dAIBAIC2JtSvYo/jhbw232SFAN29an491BOki7nhe3By2k4SGQhoKiubnZQEsTADDNebrA + hUMgEAiEBXE0JfF4PGNRmxEJCI8XR1UFyB9na7jNHnW8IcdZSW5X+e4O0kF4fF6gzQfdtliBd9eu + hhAIBALpioijfVZVWSVqFTqVwaYmgNNsjZmJcc77D+hVwDZ7NKCvIWpeC6ohAMC8n2n2u4JBxkYc + rxpqa/HXXd7HIl6+Bwg3BvQ1BJyeyGBTkzcF77mNH/R5AbYnMtCo79vCj9yel5mJMZoDnVt3ZibG + HLsbbGpCpVL5a/im4P0fvwTeweHxs+fOffr4sQBlQiAQCIQbYh0MqIdQX18vr6Cgp6vLKNHT1VVQ + UGhoaECvcmzV3NzckYYKCgr6enpoib6enrKSkry8PIlEAgA0NjZybIggCH/dcbtxCI9w+w7R7xx9 + muzPhVts/6amJrSVvLy8spIS8zBAn2bb3TU0NHDsrr6+nu+G3G6cPyTw+GUrVukwdQSBQCAQ4QFN + SbHgbmwcasahkEikO7FxjFMMBqOspMR4B2tqaGB/eSPx3fBObBwj4F9dXd2TpOS7cfcYDbEYjLqa + GuO0l6oq6v/Ed3eQDoLFYDQ1NNBjPV1dZSUlZo+0O1yeCwaD6aWqwijXUFNjfiJ34+49SUpmHgaM + p8lfdx1pKCgM+xq1traOGWmd/uKFsYmJYIVDIBAIhB1oSnYBiDLSirIyjHdwS0sLQUZaqA0JMtIU + CoVxSqPRiDw05Ls7yB8hyEi3tLSgxyQSSVFWhscnQqPRGaetFArvA4CP7jrSUFDE3bmNTsficLj0 + tLTO7BoCgUB6JuK4V7KpqWmkpQWJRFJQIFy8dn3AwIEcq6mrqwMAqDQqjUaTkpRCC6k0KgAAj8Mz + DljKy8vLAQAqqiqMq2gJN+FtS+MoHG2LwlE4BAIRElcvx6CmpKys3ItnqaJWp9301dMXtQpcMTIy + KiwsFLUWPRR1dXVxfpsYGRsXfvggai0gHMDhcO+LPgq7F3E0JcnNzVQqlUAgUKlUV5dZ7FvyVVRV + 0A3+/2/SQmY+ZVxlqUalUpkDnqNXiYpEPB7P8PVRVFJk2WTWhrQ2hKOwCIdAIEKFTkekpaXJZDIG + A+h0+p8biBlDhw9b5uUlai04E3f37ka/TaLWoocSe/fu1GnTRK0FV2Lv3t24yVfUWkBY+fD+fWJC + Qid0JI6mpLKKSlNTE4FAQP0D2KFSqWgiHEHBbAIiCCI84W0gLy9vpNOHRqNJSkpyrKAkJ2ug1RuL + Zd2TwHdDBQUFU3291tZWVYICeyscDmeorYUgCEuUeL67g3Scvn206XS6kpwsR5ca9Gni8Xh5eXnm + csZzxONw7K1UFOQNtHpLSkoqKLAOA566w+FYuutIw44zZKjl7HmuM13+epWevnblcoHLFzaF7z/Y + OziIWgvOLF20eG9YmKi16KEsXbR4nxh/+WKuXo+lvr7+be7bTuhIfN/32e/efyouFbUWncfduHs0 + Gu1TcUlra+uTpGT2CgiCfCouodPpirIyAml4JzautbX1U3EJy/QqioKUJNoQQRDmhnx3B+kgirIy + dDodfSLsV58kJTOeJrMHlaKsDOM5yktxsP4ZT5PFA4bX7mg05u460lAg+O/c5b9ls4GW5qKFbvsO + RghcPgQCgUBYEMdZSQgEAuGPfv0H5L6H+/kgEAik8xBfU9K8HwzkAYFAIBAIBCLWiKMpWV1VJSsn + hyAIGoJb1OpAxJ2PHz8qKiqqqqp2ftclJSV0Ol1bW7vzu4b0BE5GR9PpCAAAi8XIyckPHT7M0NAQ + AFBbW3vtytUlnkv/KAFBkBPHo+3G2BkZGzMKb16/MXDQQOaS9oKKRY9xeJyKioqtra3inzKqk0ik + I4cPNzc32zs4UClUh7GOHKux3F1ra2tTUxOP99tz4Dg2RD4wmIWjxzwOD77HhqSkJO93DRES4mhK + SsvI4HE4EolEIBBu3bvPsY6Kqoqg3KJVmGI4d4JwiGC5cPHC6tWrsRgshUr5c21Bg8fjMRjMlctX + HMTVVQLSpQkJCiYQCZKSUgAAEonUQibvDg76a/bsqqqq4MBAXt6ddBo9ODAwMSHhyvVrjMKT0dEe + ixd1xGJAxSorK0tISlIplNraWllZ2ehTJy2HDm2j1b7QPYkJCZZDh2a8fl30sYibucB8d34bfafP + nKHaqxeP99tz4Dg2hlhainZgMAtv1/Dge2xYWVvz/t8BIiTE0ZSUlZXNfveHnLwcI+8ICqEKh7wr + eLdzx87EB4nMUdA7CA3QBCWqXaAeSzNmzhCINEkJSefpzgH+AXCaE8Ig/GDEKJtRAAA6nb5rx46Q + oODpM2bo6OgkPHrIu5DMjIyE+PgJEycKVrfDR48OHTYUAFBbW7vRx2fNqtWPnj6RluYalP5tbu46 + b+858+bW1tS0trZyq8Z8d8nJydNntvt+ewjsYyM5NVUcBgZKu4YH32ODpRAiEsTRlOQFvoM13rx5 + c/GSxZu3bN7gvQEtYY9SybfwEydP/PPPP6GhoUsWL+EmvIdTV1fn5ORkbGR8L+6ehYWFhISEqDUS + F8hk8suXLzf5bZo5a+az1Gfwm4GwgMViF7p7nD19pqS4RE2t19cvXw0NDZubmrKysszNLeJi7wIM + ZsLEiQQCgb2tzWibPSEhY8eOw+FZA0K9TE/PeZPTR6fPuPHj+Y7hpaiouGf/fpsRIxMTEpycnQEA + WZmZGa8zmMWmp6VVVFR8//YtPy9PTk6+vp6koKDAUXkqhYLe3Zvs7JaWlqzMTGVl5e/ff6CL++w6 + 8/gldGMYY+Prt6+lJaUCGRhAQGMD8DA82MeGmro6x1tgHxta2toqyspoIUe14fDoBLqkKYnH4zs4 + cbhzx86dO3YyCxSgcB8fHx8fH47CIc9fPP/58+ez1Gdqv3J8p6Sk6Ojo6OrqdsTsxuFwz54962fa + Dz1NS0ubNGUSnSbgCNVYLDY1NXVA/wHoaWZm5thxY2k0PidE0V8seXl5TU1Nw4YNk5aWtrW1PRR5 + aIz9mIKCgkGDBglOcUg3obm5CQAgKydbUlrquXjxxy+fS0pL169Zq6GpSafTS4qLjx85ei8xgf1v + zsrVq5ctWXrp4gW3hQuZy4MDA8+fPWcxZMi7/PzLl2JOnD7FEkeWd4hEorW19av0l07OzlGHDkUe + jBg6bGjBu4LbN28dPnoEAHDx/IXq6ur4+Ps4PE5SUupdfv76DT4clWfc3Y3rNxrq669fvUahUA+E + hX388pmjzjx+Cd0bdGyQ6uoEMjCAQMcG+NPwYB8bkVGHOd4C+9jQ0dE16WeKFnJUGw6PTkB840q2 + QVVlVV1tnbSUNPrBYDB4PF5aShqPx6MH6IfbaV1tHXPzuto65jlIhnCOzdG+2uiFIRwtZxEOsRll + AwBg2JEHIw5Oc5pmaWlJVCR2ZPqWRqNZW1s7z3Curq4GAEycNFHgdiQAgE6njxo1CgBQUlJia2tr + 72DPtx0Jfu2jsLWzHTtu7PXr19FCMzMzAIBxh/cqQboNCEKnUWlUKvXb1697QkINDQ179erFXKGq + qmrS5El378XdT0woLStLe8Eh8ziRqLh85cqD4QcaGhoYhe8L3p84Hn3m/LlzFy/cvX8v582beC57 + 03mkt5ZWWVnZj+/fw8PCTp87e+b8+fsPErOzslJTUgAAByIj+ujobPhn43qmX9ptK7995w4VVdVd + QYGTp05pW2devoTuB/vYUGUaG3wPDCCEsQHaHB4cx0bbt8A+NtpQu2cOj86kCxvmHUxI2nZzoQrv + ycjIyAAAGhoa0EwnQYFBp0+dnj59OnvN0NDQiMiI79++/1EmgiBpaWkBAQFPnzy1srZat3Ydx+DY + AgFBkKCgoMNRh0kk0tixY4ODgo2MjNir0el0lvWg3lq9Q0JCFrgtYK986PChtevXzpo1CwBQWloK + AJCSkhKO+t2WwaYmJBKp7ToEAoE9C6v447Hg/9NF8vLyR6OPs9eZ9ddfAAA1dXV9ff2qqkqOctz/ + 9jh35szRqCM+//y3tyc5KWmIpSXqCaGpqek03fnRo4eTpkzmW1VJSUkqlZqUlGRsZDxs+HAAgLKy + 8oRJEx8/emQzejS3Vrwoz4CjzsamJu2V0z3449jgb2AAIYwNIKDhodlbs40u4PAQFV3YlIR0RdBl + BR1dHXl5eXNz86bmJo52JADAx8dnd+Bu5pKKigoJCQlFRUWWmhgMZsSIEfHx8WfOnNm4aePmLZvR + csEmwAS/cmAGhwQTCcRz5845TXNir3P69Omr165mvM6g0WkmJiajbUYHBgZiMJjGxka3+W4cxS73 + Wr5582Y1dbWWlhaYcJI/UDvyc0kpehx5IPx4VBR6iqLfW/OPtqZ4smLVKmMTYwwGQyQqmluYc8w2 + qaLyX6QICQkJbrP7kpKSPv/8s8XPz23Bf+PwZ3m5jq4Oo4Kurl78/Q7NPNXUVCspKZWWlH748GHQ + r30gVArFdoxdG614UZ5BGzq3S073gH1sFBUVMVfgb2AAIYwNAIdHtwaakhARUFJcgsVi1TXU26iD + Y0oYnZKSMnXaVMZpclLy4MGDWepjMBgPDw8TExOXv1waGxsRBCksLOQ4ZcgHNBrty5cvAAAsFuvu + 7r7Mc1m/fv3Yq129enXturWM09zc3Nzc3Ly8vNu3b6MachSOmo/Rx6OnTJlCoVDa/logbTPY1AQA + 8Kbg/fGoKOZTEavVAaysrVEv3Tbg8ReI03TnE9HRYfv2o6d4CXxLSwvjaktLS0c2wwEAcrLfuMye + TaNRLYZYbNn2L6OcPb07M+36+dSGzj3wZ9gfxwZ/AwMIYWwAODy6NdCUhIgANB4Enc7Tdsbs7Gxm + OxIAYGtnm/MmR1dXl1FSV1d37NixK1evlJaWtpBb0AVuNA6FYKHT6efPn79y5YqhoeF81/nuHu4y + 0v+lGo+NjfX09GRvkl+Qv3r16j9KdnJyAr8b0BAeQe1FBiyzj110MlIYYDCYTZv9PBYsRF/eBgYG + DxITEQRBX7d5b9/qG+jzLTw1JfXLly9jx497l5///fuP/v37o07BFy9cUFJU0tPX41fn304FqzME + hWVgACF8z8IYHuzGLRweooKeXuwAACAASURBVALa6RAR8Pbt25cvXwIAsFgsUZHI7YNWthtjBwAY + OHDgs9RnDxIf6OjoAAAGm/9/VvJSzCUra6svX74cP3b8+7fvlZWVqPNT25+ysrKgoCCbUTZ9+/a1 + t7ePjIxEPa7++KmsqPz+7XtISEhqaurIkSMTExNRNa5dv0ZH6AAAMzOz58+eJyYk9unTBwBQ8bPi + 2fNnAIC277ShoSEpKenjx4+d+SC6B8zG4puC959LStOeP2c+FZFe4sjIUaNsRo+uq6sDAIwdN76y + ovJw5CEymZyYkJAQHz99xkwAwJHDhxPi43mRlpqSfPvmratXrgTtDlzhtWzuvHkGBgYOjo5UCmXv + nlAymfz61eugXbslJSX5VlhaWvrF8+c/f+0+56YzpIMwDwwgiLEBhD88WMZGG2pDhA2clYSIAJvR + NgzPmM+fPisrK3OsxhySyXu998CBAwEAXl5emzdvZjS/cPGC7ybfA2EHULcVHqmqqnKe7lxRUeHm + 5tZHu09hYeGWLVuuXr16+fJlxixjG+BwuJEjRo4cMfLAgQOLFi86EX1iwoQJP378QK/6ePsMGDAA + AODp6blt2zYAQHhYuJOzU1xsnI2NDbu0wsLCocOGamlr8a4/hCP6v2/J129zh36PxdfPD3WpVlJW + Ongo0mf9+rB9+yQkJDZs3Dhs+DAAwIPEB9YjrHkJWx15MAIAgMfjNXv3Xrxkyao1awAAMjIy+8LD + fdatiz52HIvFLvX0dBw3lm9tJ0+Zeigi8mPhfz+xOOrMskEQwh+MgQEEMTaA8IcHOjbodMR5xvQ2 + 1IbDoxOApiSkU0EXtREEqayoVO2lmp2Vzc2OfPv2rbr6/3cNvs17ixqLb3Pfgl/7DkNCQi5euvjk + 0ZP27olcvXo1FouNvx8fHR0dfiB8zpw5jx4+mjlrpr+/f2hIKO9y1q5dO2rUqPlu8zf7bZaUkGSo + ivoSvX37Fi2RkpJS7aWKzqeyY2RklJaWZm1tXVdbB9MsdQQWPxv0tKG+/mjU4bMnT3bFle73RZxn + qQ0NDdEoeowDlOu3brLUxOFxzBUAACamJh8+/fdytbWze5aW9v3bNzV1dcbiJrsQdtjFMmMz2uZZ + etrXr1/V1NSYd8LdT0xAD1auXoUecFSe+aa8N/h4LV8uJSXFiKHNrvMfv4RuCcexIaiBATowNjgK + Z4bj8GAfG9xugePYYBTC4SESoCkJ6VQYm6DRbC6M/Y7V1dV5eXmjf4WEyH+Xv3Hjxk2+mwAAWCyW + TqeHhYXl5+c3NzcnJSUBAJSUldasWZOTm/Mg8QEjSiWPfPr0Ke5eXFxs3JSpU+rr65ctWxYeHh4X + F7d71+4lS5ds27qt7W3gLAwdOvT+vfuzXGYx3GX27t37NvdtQ2NDyq+f+LGxse4L3Tdv3rxr164f + xT/MB5vLyck9fvzY2tpaTk4OAMAIrg7pICx+NozTyPBwUaolxkhKShr27StwsXg8npF9pIPIysmy + lAhJZwgLwvueBTU82McGgMNDFEBTEtKpsLgBtra2SkpKNjY22trZ1lTXNDT+FyZXSkrK1dV14cKF + AICf5T97qfVCECT+1x4dDMAMtRxaWlYaFxuHmmLtIisrS11dXU5Orry8/E32G21t7QnjJ4wdN9bC + woJCoeTl5VlbW7dLoIGBwYPEBzNnzcThcDQaDUGQ+/H/D5xx8+bNRYsWAQQs9VzqOM6xsuK/kGay + MrKGfQ2Tk5KxWCyz1yGkg7C73aQ9fy4nL9/4exBmCAQCgQgE6HYDEQF4PB5dydXQ1KisrCwtLa2p + rklPT2fkJGxpaTl79uy+ffsAABISEjXVNaqqqhgMBovF+nj7DDYfrKmheeniJT7sSAAAFotFDT4A + QGtrKwCgldKKliMIwl/MCFVV1YT4BHSLJMNcxmAwGAzm5KmTUVFRtXW1a9esLSosKi8rnzBhAh6P + f/XqVVFREYlEelfwTkNTAwBAVCTCdF4dhMXP5k3B+6u3bq/yWnbs5CkRagWBQCDdGGhKQkQA6isd + tj+MTqcb9jW0HGrZ0NjgOt81Lz+PUYdGowUGBT58+BAAgMFgij4W1dbUvkx/ef3G9SmTpxw8eJBv + q2vYsGFVVVW1tbXGRsZW1lbePt5Tp04dYT0iNTVVRkaG7+TXMjIyT588Xbx4MYIgenp6T588ra2p + LfxQmJiYOH/+/OHDh6OGr7S09Plz5zEA4+3t3dTUpKuna21tjSDI5ZjLMM1mB9Hvrcn4ME7/mu6s + rq6upa0tau0gEAikewKnQCAiw8PDY733enQOD0GQN2/eoOX9+vWrq6srKSkBAOzdu3fs2P/8+9LS + 0ha6L/T395/vOr8j/Wpra8+ePXuj78aYSzE3btyIT4gPCgwaPXr09BnTV6xYgaZ25A8cDrd/335t + Le0dO3dMmDhh185dnp6eZSVl7z+872vYlzFbKSkpmZ+f369/P/BrKhRBkIm8OUVC2oCj2w2NRjt9 + 4sS0iRNEpxcEAoF0Z+CsJERkoEvJ6Cowo1BNXS3tRVpwUDB6+v7DewBATk7O6NGjnZycjkQd6aAd + ibJ/3351NfUx9mNKSkucpjllZWfZO9iPHDnSb5Nfx4V7e3sfPXK0tbX1n43/uPzl0tDQ0M+0H+pm + xEBNTU1QTgkQZgabmjBHLB9sajJkQP/Fnp71XdB9GwKBQLoE4jgr2dLS8vTJEx4jV0G6Fox4kAxq + qmsAUwjJyp+VwcHB169fR0811DW2b9++P+y/jF4zZs4QrD4nT53EgP8M2Vu3bt26dUuw8h88eGA9 + wjrmUoyZmRlzOYVC+fnzJ2C7fUjHYXe72RXgTyAQumIwIAgEAhF/xNGUDA0OPnPqNEshgUDIzHkj + CnUgguTe/Xvc/FoUFBTq6+vpCD0oOIhRWPC+IP9dvvD0YdiRwqO4uNjG1maRx6Jwpng0R44ekZOT + q6mpYalMIpEwGEx2dra5ubmwFetmEAgERkxyxl5JAAC6Y+Fhcspwc9a87RAIBALpOGK3wJ3zJufs + 6TMshXBGodtgbGSMIEjcvTj2S4cPHZaSkmIp5DFPt5iDAZhTp0/p6uneuHEjPj5+9erVu3ftPnzo + MHvNixcvSklJMacXh/ABgUAgEAjocXNz84ljx8bajhatSt2ANevWilqFnouYf/lirh5E2IjdrKSJ + qQmCIB+/fO6rp49akNCO7E4YGRl5enq6u7vv3rXbd5MvYFrbXbBwAQ6HmzNnzsuXL2tra3up9kpI + TFBW4pwLp8vx4cOHKVOnrPder9Vby8zMrJXS6uTsBJhuX1FJccOGDXv37t2xY4eSkpJIle2SkEgk + httN7J3bq728WLxwRKRX+6BQKH319EWtBWe0tbUOhh8QtRY9FDH/8sVcvR7LxMmT+E5x3i7EzpRk + zEsxJhWgHdnNCA0JNTYy/mfjPwiC1NXWMV8iKhIXuC24cuUKgiA1NTX6+mL6Tu0IJBLp4MGDl2Iu + sd97ZETkmdNnnJ2dRaVbF4X51+Zoq+EAgJT0l6u9vJhPRaheu5CQkGgj45xo6aunL7a6dXvE/MsX + c/V6LHdu336QkNgJHYmdKcmAYUoCaE12L1RUVahUKnrM7m7i4uKywWeDn58fDofrdNWETkNDQ2Bg + 4OQpkwGne28mNy90X4jH42F0yXbxpuA9Y9IRtRrTnj9nPoVAIBCI8BBfUxIFNSihKdmdoFKpjAk5 + oiKRZXKueyMvLx8YGBgYGMhSzvw9QG9u/mB2u5GTl1dgOoVAIBCI8BB3UxICgUD+CMuOaphuGwKB + QDoNaEpCIJAuz5uC96JWAQKBQHoo0JSEiC8tLS23b99e5rWMY0ggLBYbfz/eysqKpbygoGDEyBFC + iiKExWLf5r7V0tJiKX/w4MHsObPZO8XhcCuWr7AeYT1p4qRuufsTIs7QqLSLFy4scF8oJPkIgjx+ + +Cg1NQVBgM1om7HjxgEAqqurb9+69feiRbzLaWhoiLl46e/Fi+D/kc4hOysrMyPDwNBwjL29MOQj + CHLieLTdGDsjY2NG4c3rNwYOGshc0rYE9qHV+bS2tnaOB3RXR+ziSkIgKB8+fLAeYe213Is9QQ4K + giATJk5gt96sR1pza9Jx6HT6gIEDWAqrq6td/nLh1iTxQaKbm5vjWMeysjIhaQWBcOTokajoY8eE + JJxCoaz0Wr5qxYovn798+fx55fLlfr6bAADlZWV7gkPaJaq2tjY4MJDhjQcRKhfOn3edM/dZ6rMN + 3j7bNm8RRhd0Gj04MHCL32bmwpPR0Tk5Obw05za0Ohm/jb5ZmZmd329XBM5KQsSR5uZml79c5OXk + nz97bmpqyrFOTU2Nnr4ee+4chI68zX3bp08fYShGVCSy26kKCgoAgJKSEhlpGY6tXr58+feiv90W + uCUmJHJL9gOBCBAEQQ5HHjoQFqapKSzfo+NHj2a8fh0bfx/NJv/q5Us3V9exY8f21uotpB4hHQdB + kH2he0L27pnm5FRUVDR5/ITFS5fq6esJo6/MjIyE+Hg+ciBzG1qO48YKQU2uJCcnTxd0qt7uCnyr + QcSRmJiYsrKys2fPcrMjAQBtxPEWkh3JDQkJCQAANzsSADB8+PDjx4+/evXq0aNHnagXpOdyMDz8 + 2JEj7h4eQpJPo9FOnji53scHfdkDAIYNHz7NySk7O5ul5sv09OhjxxPi41kWEF6/en3i+PHHjx6z + 1C8vK3v86DGZTBaS5j2cutpaEok0dNgwAIChoaGKisrnz5+E1JfNaJs9ISE0Ko39ErdRAXgYWuxt + m5uanj971tTYdPXy5atXrqAeeBwLUbIyM/84Jt9kZ7e0tGRlZv748UMwX0e3Bs5Kip7G1pZ//bcB + AOpbyO4e7vUtZMZpQ0MDmUqh0P77r4heZTSUwOK5Nbx8+XJTaysNoXNsKIWX4NYwdE8oc03mhjgM + 9k3Om+vXr7G3cvdwJ1MoFDpnPX03+Ya0c8HL28cbQZC+ffsyF7a0tLBnVhRJ6BxeOmXRduSIkRgM + Zvac2TXVrHm32+BgxMGMjAyOT0RWQhL5dcr+NAcNMmtsbaVzGQAlpSVkKoXjGCC1kAECMBjW7gAA + WID9+fMnx+4AAI0trXTw/7/LzA3xWGwzuZlbw4aWFt6/EAiPmJiY3ktMKPr4MTEhQRjy897m1dbU + jJ84gblw7/79AIB3+fmMkuDAwPNnz1kMGfIuP//ypZgTp09hMBgAwMHwA0cOHx46bNiRqChHx7Gr + 1q5B6zc1NnksdLceYe3g6CAMtSEEIlFdQ+PpkyfzXF3z8/KqqqsYFpvAWbl69bIlSy9dvOC28Lfd + utxGBUobQ4tb25LS0vVr1mpoatLp9JLi4uNHjt5LTOBYiMfjow4dijwYMXTY0IJ3Bbdv3jp89Agq + mWVMSklLN9TXX796TUdHV1tbW0hfUfcBERsIRAJ6YKirh/5rMcjMbpSN3SgbQ109tLBboqhIJBAJ + HD8VFRWqKkrcrvZSVeF2acuWLcpKityuaqqpcrs0y2UWt0vKioohoaHcrqqqKHO7ZG5hzny/jAfN + csxSh/lSbm6u3Rg7Re53JIYfRSXFqdOmfv36ldtN8fKduLq6cpOvra6mpd6L29XpM2Yocf+63r17 + 18a44vZRUlL89OkT16uKXLtTVVYmkUhcvyhFYjv/xwiA/7F33lFRXF0Af7ssS99GUYp0UQSlIwpo + VJoNQWNXxBILwYrdJH4xIiCgCFiQYom9m4gBEbCBSomKnSYgYKODtC3z/TFxsll2B1h2YYH3O3s8 + M3Pffe/OzMW9+8p9gl5Ej2M5wkyEtd29c2fMaHtR1cb9n3BiQoKp8TC+xV69fGk82AhBkDev3xjq + 6mVlZiIIUl5ebmVmfvNGPHpspG9w7+5dBEGKi4qMDAzSHjww0NFtampa+cNy74VeLBZLVDb3GUT4 + DZidlWVuOnzqpMmmxsNO/f67SOrkMY/FZBno6L598/bokSgbC8v6+noEQaa4Tbx08aIgr8DAcS1B + uvn5+QY6uocPHkQQ5NPHj6bGw+7fu8/34vuSEiMDg4zHjxEEqaysHGVje//ePYSfTxYXFY0eaffo + 4UORPJ+e4vq1a0b6Bt3QkET3StbV1aF7cPe0IZCepLGxcc7cOTt/2TljxgzuiYYsFquiomLgwIE9 + aBsOLBbr4KGD8xfMv3f3HvdvbgikD9Da2ioryztEwMO9u3ctraysrK0BAOrq6u4e05KTb0+cPOlh + erqurq7jmDEAAG0dndNnz6GzjSMOHHj9+vWfN+PhOm7xwWazD0UeHKSt7eHp8eD+g99PnJw8ZQqN + RhNTc4sWe/9+4kTU4SN+mzaiVwR5BaaC41r4ujNmzgQAqA0YoKenV1lZoa6h3vZicXGR0WAjG1tb + AACDwXCd6JaSnOzg6NjWJ5VVVMT0TPokvWCuJNzqpp+TnZ39+fPnmTNnqqqpUmlU7KOsomxtY93T + 1gmERCKtXbP29evX797BrWkhfQ06nVFfV89m85kGh/H50ydtHW3sVEdHt6y0DADw5fMXDa50WtY2 + 1gqKCgCAkydOVlZWwlmSYuX+vXt/Z2efOntmybJlscePKcjLnzr5u/iaI5PJfps2HYuN/fQtf4Ug + r8DAcS18XWVlZfRAWloaywbAc/FD+Yfc3Nzhw0zQz7kzZ8vKBPikgkIX7rvfIdG9khAIAMDExKSl + pQVw7bjIZDK9vb2ZLObv4vxPUCQwmUzxLaGFQHoK42HGHA7nzevXJqam2MWYo9E5Oc9W+figpyRp + UgvXRNiWlha0e55EkmptbcWuI99SIsQdP74vJDh8f9hve/y74x76JQX5+cNMTNCxPgKBYO/o+Pbt + G7G26O4xLTYmZn/oP5MdBXkFBo5raWpq4ujyTY7Bc1FBQd7C0mLHz79gV9Ae8bY+CYeSOkUv6JWE + 9HMYDAb3KXcc2XYVjgQiJydwZTcE0kthMBhW1tYnjh3HrjCZzEsXL6qr/5sJSF9f/9XLl1ik+PLF + Cz19PQCArq5eYUEB1u3kMdX9TkoKAGCE2YiNmzdfuHC+oKCg226kv6Giovr50yfstKLii7KyeEdy + CQTC1u3brly+XF5eDgR7BQaOa7Wr2y7aOjrv35cOGzZs+Ijhw0cMf/48B10l1tYnU1NSYDDZcWAo + CelN9Lo4EtI9mA0doqehjv8xGzqkp83sU2zcvOn6tWu7d+3Kz8t7+uTJyh+WV1RULFn67yY3Ts4u + FV8qDkUebG5uvpWYmJiQ4OE5HQAw2sGexWYdijzY0tJy/dq14qIicwsLVMXK2nrMmLF7AwN75pb6 + AQ5jHL98+XL0SFRra2vag7Q/rl2fOGmiuBsdbW/v4OhYW1sLBHsFN4JcqyO6+IyfMIHFZIYE721u + bs7KzArY7Y9uZtPWJy0sLGRlZR+mp3NH3hBBwFAS0puAcSSEL+iM6nflH96Vf3j+Nnflj77YKfoB + cNa1qLGytj4QGXEz/qabs8v3ntNLS0tjj8UN4FoGR2fQww9GHj8WZzrUeK3v6o2bN9vY2gAA5OTk + wiMjT544bjrU+H8//xKyfx+NK0es3+ZNqckpmRmZPXBL/QBlZeUDkRHRR6NMhgxd6u293m/DSDu7 + bmh3y7Zt6FizIK/gRpBrdUQXHzk5udCwsCuXLg83HjZ/zpxF3t5o2nO+Pjlp8pSDEZGnfj8lqofQ + h5HcuZLcC7fhdwCEyWQCAGAcCcEH7Xp89ubtkYOR3Kc9bFYPMWbs2LtpD8RXv9vEic7OLqWlpWQZ + MjYn2HjYsFe5bzED0h49el9SojZgADopDWXU6NFpjx6VlpZqaWmh3UL5Rf+sThs6dGhuIRzgFiNj + xo59lJFZ8r5k4MCBYpp+I0WSwl4oypChQ7DXKsgruOHrWoJ0DQwMuJu7fO0qesD3ooOjQ9rjR8XF + xWpqavg+uWGj38pVq+DXTUeQ0FDSULdzEyAgfZ6rV6/Ky8ufPnUa3VoGAsHgGbnm+eUJf4iKFSmS + lI6uDk4BMpls8N+9BrDr+vr6YrMLgocUSUpPrye/ZAV5BTeCXKsjuviQSCS+idnb+qS8gnxXGuo/ + SNwAd0lxMQCAQqFQKJT8ondo3yRcuACZNWvWh/IPMI6EtIU7WHz25u278g+P0tO5T3vILggEAukX + SFyvJJFIVFJSQr8bsL7JpqYmmKgcAoHgo6ehjnMKgUAgEHEgcaGk1qBBT57n9LQVkB6GSCRyOJwe + 2V9b5HDfBd/MZxBRwd0Bqaehjp42fv169MjhY9HRcKQbAoFAxAH8YoNIItlZ2X0vQyyBQMh9m9vT + VvRxzIYO4Z46aTZ0yCgry3V+G2EcCYFAIGJC4nolIRAAgL6+fk11DXrcB/om0U16IN1D22U3j9LT + FRQVvzY09JRJEAgE0oeBvZIQCKTvwLPO5tmbt2cvXfZduSLm+IketAoCgUD6MLBXEgKB9BEELbsZ + Ymys3kuW4FCoFIlNhUahKEmsbX0eCX/4Em5ev2XSlMkWlhbd0BAMJSWRnb/s/HXXr9xX5syZ4+Xl + RaPRPn74GB4RfufOHdEqQiCiouMu19ZduwjfZTccDufksbipbm4ibEh81NXW8eR2lhwMdfUk1rY+ + j4Q/fAk3r9/yx/Xrmzb4dUNDMJSUCJSUlGKiY7DT0aNHc3/FUiiUTRs3+W30q6qqUlNTCw0JHWk3 + ksVidUUR0ovo1O8BnvhM6MBOOEW+LgeQf0riu6tI4NneBjv99eefRdsQBAKBQFBgKCkRNDU1DdIe + FBUVVfGlAgAwZMh/du9gtjIJBAKdTudwOHQ6nUwmY6ubhVZsS/eHIJCO0O7vAZz4DF+3s4oIh9Ou + In+XQ/6JJfHdVVS0XXaz+387KRQKXMQNgUAg4gCGkhIBi8VavHgxgiC5uXySxTQ1N61Zu2bF8hWq + aqqVlZXr1q9DN6TuiiLofCQB2O1HEvwVIV2g3d8DOPEZvm5nFZEOKPJ1ObLUP//P4LtrF6FQKNjk + SPQA/RfdK+v2vfu25mYibxQCgUAgEhdKblq39vLFC9xX7EaNPn3xUt/LMsiNjIyMp6cndoogyM2/ + biLf+nJkZGQcHBxevnoJXgEAgLW1dUpKCiZ1d3ePjIjctnWbmppa+Yfy+Jvx3DWPGjVq+fLl69at + U1NTs7S0TE5OxkTiiCQ61Q8K6QhYcKapqWlhYVFdXc351juIgsVnRUVFThOc1NTUpKSkeHQHDhzI + YDD8Nvpx/5bAFAsKCgYPHvzzLz9zN7rBb8PSJUvRiHDz5s1MJlPqW351Fov1w7IfmCwmGhFKk/+z + m2VBfsHHjx9lZWXz8/Kzs7N5bqeutm7Dhg26urqvXr3aH7ZfdM/pPyhRKIRv3ZNNTU2xR49ePHdO + TG1BIBBIP0fikgFdu3oFAEChUArLPqAHWZmZPN+dfQ8CgSArI0ulUpctXSYrIysrI9tBKYPBcHN1 + a2pumjx58qvXr5YsWcK9Xzm+FABw9szZhw8ffvr8Kf5m/I4dO7DrTBbzRvyN6Z7Tr1y+4uHhwdOd + KSUllZSU9PDhw8LCwvib8e/e/TvbmoNwHmc8nu45/feTv3t4eLx4+YJbESI01dXV+vr6DQ0N+/fv + Z7PZPFJVVVXfH31z3+ZGRUUFBARwF3Cf6m442LCyqpJOp8+dM5dHcemSpSdOnDh9+vTN+JvTPadj + 12VkZIICg5avWO7m5rZy5cpfdv7C/ZNARkYmLi7u/fv3AAAlJaUtW7ZwS2PjYpks5rnz5+h0+qFD + h3haxJd2hbq6unflH9BPUEgo9+m78g/9cHQ7MyMzNjr65o14Mf0NxsXExByNjjkaHRcTc/7suYKC + gnZVHqanx0ZHZ2ZkoKcXzp1/9uwZT5nkpNvpaWl8y7fLs6dP42JiuL8v4mJiPnz4zybsly5efP36 + NQAgPy8vNjq6qbERE7W0tMTFxJSWlnawud7Lg/v3jx6JyszIFFP9ra2tMUejq6qqeK7j+wyCIMlJ + t3/dufN/v+y8nZQkRP0YPJ6AIAjabszR6GNxcX9cv15TXc2jIu6/lz4OIjFQqBQEQYYZ6I0YMths + qBGCIGZDjcyGGhlqa7FYrJ62TozQaFQKlUKhUrQGaeXk5KDH6OfLly8qynRBUlUVZW0d7WfPnlGo + FB8fHwqVkpubO2DAAAqVsmPHDgadJkiqrqaCVpiRkUGhUl6+eonVOeP7GYJEDBotaO9eVHr//n06 + g57+MJ1CpaBWqSgzBInMLcy57xd90W2PBYG2Lvrn3i100HhBz2TevHk6ujoIghw/cXyo8VD0pfzj + DwPUNAeoUqgUvgU8PD3pdJqKqsqLFy/GTxj/5s0bKo2KOgOFSnn9+rWKMl2QlEKlDDYa/OTJE+y0 + oKBgoPpAOp1WWFgoUEqjUagUZRVlbi9F/UeFwairqxMkpdGoXXzII4YY6aoP1FUfiCCIg62Ng60N + giBtT9ErbR+yRGE5wkwk9ewLCTE1HrbUe/Eo25GzZnwvkv9CDXR0uU+N9A2sLSxGj7QbPdLO1HjY + YD39C+fP46gf2L/fzMR0qfdiMxPTwwcPIgiyYd26lT8s5y7D4XBG2Y784/p1vuXbZan3YiN9g4fp + 6dxGPnr4kLuM87jxp0+dQhDka8PXUbYjg4P2YqKQvcGTXF1ZTEn8uuF5+F0hOGiv8WCjRQsWWJlb + hIcdEEmdPObV1tYa6Oi+evmSpxiOz7S2tq5avmKo4WDvhV6LFiw0MjDYunmLoOYE1Y/B4wksJstA + R9fGwnL0SDtbSysjfQNz0+FZmZlYeXH8vUgC169dM9I36IaGJG6A29zCMjMzg8Vk6muqAwCIRClt + be0+v3OxnKzcrl27ZGRkNDQ0gvcGIwiyddtW7BcVjrSmpqaqqurw4cNpD9Kij0aXlJQ0NTdh1eJL + AQA6OjrBe4PVB6oH7w3mcDjbtm/DEyH/Kuob6O8N2qunqxe8N1hDQ4O7ThwRRAhqa2s3+G2YOXPm + tavXFBUVLS0t//77zKrBFQAAIABJREFU7w4WkJWVpVAoM2bMUFJS2rNnz4ABA7gVcaSfPn0CAMTF + xj158sTa2rqurq6xsREb4OYvJRABAHJycsoqysF7g9GSA9T+0yK+VGievXmLzZK8/zgDAPAoPZ37 + tL/x6ePHqMNHLly+PMJsRF1d3UQX1xt//jnNw0PkDYWFR9g72AMAOBzO7l27ggICPTw9paWl25as + qqo6GBl56crV4SOGZ2dlzZ8zd4GXl6ub28YNG1pbW8lkMlrsec7z6qqqcePH8y2vqKiIY8zXr18f + pqdPneZ+80a83ahR7RovryC/ZevWbVu2zJo9S1tHJy83N+bo0VNnz0iRpIR6GL2D0tLS6KNRh6OO + jp8w/n1JyeSJE6e6u+vq6XabAYJ8JjoqKjsr60bCXwYGBgCAzIyMBfPmOTk5TXB26mwTgjzhUFSU + tY01AKCmpmazn98a39XJd1JlZWW77e+lDyNZIRqCIFmZGblFJYVlHwrLPlAoFEVFhfel7/v8ADeb + wy4pKcnLy9u/f39JSUlJSQmCIB2ULli4oPR9qZubW3Fx8eIli3lqxpF+/foVrTA0NJSnWhwRKo0I + jygqKoqMjCwpKQkMCuyICCIcHA4nNjbWzc3Nc7pnVFRUSHAINhWy3QJfv349dOhQeVk5+m9IaAi3 + Ir50+ozpeXl55ubmhYWFM2fN5LFKkBR1gJJv8DgAvrSLoMtu0M8y70VKXKd6vSQ/uagoLCw0MTUd + YTYCAEChUOxG2b16+UqsLRKJRK9F3jXV1eVl5XwL1NfVe3ktGj5iOADA3NyCzWaXlpY6jhnDYXMy + Hj/Git1OSrJ3cFBUVORbHt+Gu6l3Bmlru0+blpiQ0HYSCF/cPaaZDh/uv3s3AOCn7Ts8PD2trK07 + eMu9lOysLO1B2uMnjAcADNLWtrd3SE1JbldLHHD7DJvNjouNW+/nh8aRAAAbW9up7u5Pnz4VouZ2 + PYFGowXv21dXV3crMRH0xN9L30OyeiUJBAJXxALq6uooFArgvtRHaW1tjYiM4L7i7Oysra2NLz11 + 8iQAoL6+3n+PP19FQdJzp34HALDZ7EOH/zNZzdnZ2dXFNSkpia9IT1sHPeYr1dfVi4o+yldkaWnZ + wecAwaGsrOxA+IED4QfQl3jx9GmcAs7OLnfvpPJ9HZh78JUyGIzz588DACorKwMCA7hFujo62Glb + qbQU6WbCX4J8A6dFHW0d0GV4cv308+22R40effnaVey0uKh4sJGRuBttamoEAMgryPOV6ujq7Pi2 + ruvunTuycnKDBg2Sk5NzHDMmJTnZwdERFSXfTvJevFhQeXwDbiUmOjo62o0a1dTc9PjRo9H29u3a + TCAQfvnfzunTPH7dubOgoODI0aMdu9deTHVVtaqaGnZKo9Fy34o+nUIHwXzm5YuXNdXVLm6u3NKQ + ffuEq7YjnkClUu3s7DIfZ7hPm9Yjfy99DMnqlQQAmA43NRykqa+pjg5wNzR81dbW6fMD3G0hEok4 + d40jFVoRlQpacE0kEgntVCtQsR++PrHS7iPFf49i8iu8FgWv4heVe6Bbb7f76XpDvY7Hjx49z8mZ + PHmyOCpHEA6bxWaxWCXFxcFBew0MDFRVVXHK19XVLVu8xGflSv+APQoKCgAAVze31JRUVFpaWpqf + l+/k7IJTXhBMJvNOaqqTizOZTHZ0HHMzPh6nMDemw4fPmDnz9xMnN2/ZQmfQO6jVe9HQ1CgsKMBW + lrx9+6a+vr47DeDrMx8/fpCTl2cwGF2vv+OeoKGp+fHjR56LYv176cNI3Hf8i+cvOBwOtoJbUVHh + /fu+P8ANaUtLS0tPmyBKOBwOXBgI6WbKy8vXr1nr4/vjIK6RChHivdBriKHhUMPB48d+93d29i7/ + 3fjlmUymtY31SLuR4WFhaC/yuAnjP5SXF+TnAwBu30qytrHhjufalhfEw/R0KSkpa2sbAMAEZ6eO + j3EDANCZmv1kjb+DgyOCID9t2/769evwsAMF+QXdvP8ZX59pbW2VlZURSf0d9wQymcxz7+L+e+nD + SNYAN4IgBAKgUCjoKXqAgL4/wN0WdFWUEFKhFVEpnqidaoVpURA3/7o5d+4/mWuIRGKv/i1BJBKp + NCp6nHw72brLk7HafaQ4L0t8foXXIq6pQrgHpCN8+fJl0fwFltZWq9euFVMTPr6+RkOMCAQClUoz + tzDHXxYDAFBWVl7p47N85cqpkyadOXVqpY8PlUq1G2WXkpxiYGh4OynJ9b9bpbctL6jmW4mJBCJx + 5fLlAICGhobqquqH6Q8dHB2kpKR4Igk2h829MOh5zvNzZ8/MnDUrMjzcY7qnioqKMA+i9yCvIB95 + +ND6tWsvX7r03bhxc+fP+/LlS3cawNdn6HRGfV09m83mmQguBHw9YRS/ZVjV1VV0+r+/W7rh76UP + I1mhJIFAsLC0ysrM4HA42AruQTr9cYD71q1bBAJh29ZtOFIyic/rQ0W+P/riKMqT+SyxRKU49kgT + pTZvE2iPDL9lm6iooKBA0I0IYv78+QQC4UP5Bzk5uTNnz6xatapT6hLFxQsXnZycqqur9fT1nF2c + q6t485m1C89elOhLVJKRwSnA/rY1EV/dH5b9gCMVJCJJSfn4/ChQSiAKEsmQpH/6tgV2W6kUkRgS + 8p8VP5CuU19fv8RrkaaW1v4DB8T3/+dIOzt0NW67tLS0VFVVqauj/6sTra1t8nLzUJGzq2v8nzdm + z52TlZmJTY/DKd8WDoeTnHTb3t5ea5AWeqWqsvJm/A0HRwclJSWe7saa6holJSVM8Zefdnh4eO7y + 352ZmREcGBQUEty5R9ALsbG1ffDwYX19vZKS0rbNW9TVuzXPBl+fMR5mzOFw3rx+bWJqil2MORqd + k/MsPDKy45UL8gS+oWTO02ffz5qFHnfP30sfRrJCSQBAzrOnCgoKBALh6eu35sZDAAClJcUcDqfr + P1YknLZbV3P31uBIhVYUU7X4ih0E7YZEE6rPmzuvV4eSTk5OAAA6nS5cD1y7e3Dz3y8bQdrVxZHi + 78HNX8rm4BsjSMrp8EAkpONs27yFLCNz+GgU39Q83U9iQsK+kNA79++hp2VlZYONBqPHzi4u/rt+ + u52UZGJiMlB9YLvl2/L0yZOqqqpd/rup1H+6/9XUBkSEh/+223+wkVF2ZpbbxIno9dy3ubW1tUbf + Nug6e/r0u8J30XFx0tLS23f8tOKHH+YtXGBm1pc32Hz37l1ocHDkoUNKSkpsNjvtwYN2pyV0AwwG + w8ra+sSx43u/5ZFgMpmXLl4c+913napHkCfs/PVXnpIP7j8oKipycnFGTyXt76XXIXGhJJPFam5u + Roe2/1nB3Q923hPtV35HFAVJ8URsvEiiXRFEONrdixKnAL5uZxURXEUEp85voSTcV7N7yMzISPjr + r3UbNtxKSESv6OjqmFtY9KBJDo6OO3/6+Vhs7AIvr5vx8Q/u3/fbtBEVqampmZiaHoyImDV7TkfK + t+VWYqKllSUWPQAAnF1dfvv11/T0tClTp/7vl1/UBgywGzWqrLR0X0iIhaWlvr4+AKCysjI0OGTt + +nXooPZ4pwn2Dg67ftl56drVPuyWAwcMuH/33rUrV6e4T404cIAsQ3YcM0ZMbd1JTcWWh1Np1O/G + jcMpvHHzpnmz51ColDlz5zY0NEQcCK+oqFiydEmn6hfoCWlpAIAH9++VlZa2Mlvz8/LPnjk9Z+5c + 1BMk8O+l1yFxoeQIM/OcZ0/r6uq+reBu0Bo0qM93OIv2K19M1eJHEmi4AGMFkYPto41uh82ziSV+ + AXzdzipiKcr5SwlEQSKyFAlHEWd9N0Q4UpKTAQBhXLlUZs6e3bNfjQwGIzQsbNOGDXt2+8vKye0J + CjQeNgyTuri6BgUEcE+UxC/PQ9KtW7P/uymohoaG6fDhN2/E+wcG5OfnhQTvZbPYAABrG+sDEf8k + Vgvw91dVU/Na5I1p/fTLz5MnTrx86dL3M3kTqfYZ5OTl9wQFbtm4aZOfn6am5pGYaPGN+IUG/ztx + xdjYGD+UtLK2PhAZset/vx6POwYAMDA0jD0WN2DgwE7VL9AT4uMBAJHhEQAAEomkrqGxdNky3zVr + 0DIS+PfS6yBIzpx3Ko1aW1M7RFebyWRSKJSnr9/qa6pTKJSvjY1vCov68AA3nU7jIIiDgwP2FRsX + F5ecnAwAKMgvMB5q1Mpi85XKSEu3MJl8Rat9Vx8+dJDF4fCVKsiQv7a0AgDaSp2dnZOSkviKSATi + lu3b/f1385WSSaRWFouvSF9f/8nfT7D7RV9022Nu0HUq7RaTfHhuFnDdFE4x7Hj+/Pk34m/wrZki + K4sApL6Z/zr38eMn3L2TyhawXOnxo8eODqNbWZ0bWZYiErOz/za3MOcvJRDZCP/myFKkwqIibPYS + D0QCobq6plOWdB2J9SgrM/PsZ8KkZe4GDHX18ovedaUGJpP5/v17LS0tbHsb0ZYXRENDQ1lpGZ1O + Uxsgmg2Wup+uP3xuvn79+vHjRx0dHRK/CfdCICrz2Cx2aWkpWYaMTpOFdJE/rl/ftMHvbUG+uBuS + rF5JBEFYLBbPCm4C6BedFp4enq6urvHx8W13rMGXCq0opmrxFSFCEBoSunDhQpxHilMAX1eiFCF9 + gJrqam+vRW2vr1m3bvyE8dLS0uiQYgfhLo9fM349ioqKQ4YO6Xi7fR4FBQVsXxmJQookpaP7754F + XXnpkO5EsgaOCQSC6YgRdXV16AA3eqA1SKvPD3DLysqOHTvWwtJCSUlpmDHvOA6OVGhFMVWLrygJ + fPn8+cK5s3v37Dl/9kxjYyMA4PKFCyePHTt14sSthAR0lxQmkxl79GjGo0eY1oVzZ//qcNJj0dLu + I+3+9ygmh4T0AWh0+rU//2j76foXv/hqhkgs8KX3FiQuRCvIz1dSUkJTlFMoFCUlpZLi4l6dVrAj + kMlkBQWFdWvXDRw4cPuO7XuD9nJHzzhSoRXFVC2+Yo+Tdv+ey3djt2zYcO70qW0bN27buLGmpmbj + urWBu38LCw1ZsWTxOAf7oneFtTU1u/+388qlS5hiSGBg9JHDPWJzu4+0+9+jmBwSAoFAIL0RyRrg + BgC0tLSwv41xoyu4cfbr6zM0NDSEh4eTSKSSkhLQJm8zjlRoRaGqJXTRnp6lubl50/r1rUzmlT9v + WFhZlZeVZWY8Rs2b7O4evD/s6qVLG9asPhYTs3rd+p429l/afaTicA++ImwFFX8pIAisk4DXYr+Y + vwKBQCB9F4kLJYebjch5wruCu8+vAuZwOIf/2+nl7Oys/W3vJkHSUydPCqd47tTvgqSuLq5JSUl8 + RXraOjjV6uvqRUUf5SuytLTs0FMQMxmPHn0oL1/o7W1hZQUA0NDUnOY5vbr634ThFlaWAICmxqYe + M5Efgl7ixdOncQo4O7vcvZMqhHswGIzz58/zFenq4DmAtBTpZsJfgnwDR1FHWwdAIBAIpNcicR1+ + Bbn53HtwKygolJWW9rRRPQCRSMQZ+8ORCq2ISgVF7UQiEad7mEgkEokCFSVkEPNdYQEAwGjI0Lai + ii9f0h7cD9y9GwBgP8axuy3rJO0+Uvz3KCa/wmtR8E9ByXEPCAQCgQiHZP0njiBIQ0M99wpuAoEA + CBKUsQjSPaChCbos5uTvJ0VSJ5ksAwBobm5uK7qTkrJg1qy/s7P9Nm+Z5jn9n6tcXtcVD0STK1VV + VREIBBg2QSAQCKSPIVkD3AQCQVdPr7ioiGsPbqKa2oA+P8DdFvxZhjhSoRUBbsCEIAhop1phWhTE + +XPnZ86aqa7xjw90Vp0vhoMHAwAe3Lu7bMUKHtHUaR6BISHyCgroKY1GI0lLl75/j542NTVVV1db + 29gI1+6s2bPQdWMEAiElOUVI67lo95HivCzx+RVei7imwh+KvYU169b2tAn9Fwl/+BJuHkTcSFYo + iSDI58+f5eXliUQiugc3giCfP3/qabvEDpFIXLZsmRTxnzTsCECioqIAANu2bsORkkkkQSLfH31x + FOXJ0jhSQSISgbh5m0B7yCSSIFFBQQF6Ix3HxcWl4ksFuh0qmtm761jb2ppZWNxNTV2/2nfsuPEF + +XnF74p+3bMHACAjK4PFkQAAkrT0qNGj79+9GxYaMsLM/MLZM2wWa4Kzi3Dtcjic2ppaDoeDIIgQ + mfYFvSYlGRmcAmw2B0f3h2U/4EgFiaSIRB+fHwVKAUGQiCxF+unnnwVJiQRCSMi/u1b0cxQVFQ2/ + TS2VNLS0NMPDDvS0Ff0UCX/4Em5ev2XSlMnDTEy6oSHJCiUJBEJzUxObze5vK7jl5eUDAwJTU1Nf + vHiB9dNgvTU4UqEVxVQtvmKnQONIEUIgEI7Exu3YsvnGH39cu3yZSqVu2LwFHUlvu+VDYEjoxnVr + D4SGAgDkFRTWbNgwY9asrrQudN9qu4+0+98jf2kHrBWhe/RVGhoaRLipiWgR7YYrkE4h4Q9fws3r + t6C73XRDQxIXpRkPM5GSImEruBsbGwcMGNDnv2waGhoWL1lMJBKdXZzrG+qPnzjOfcs4UqEVxVQt + vmKPM3DgwNgTJ1/lFzzM/jv7xUuvxYupVOqbd0X+QXt5Smpoap65eOlVQeHjJ09f5Oat37ipp2ZZ + tPtIu/89iskhIRAIBNIrQSQGCpWCIIi58RA9jYFmQ40QBNHTGDhiyGDDQRpsNrunrRMjNBqVQqWg + Hzc3t7KyMhaLRWfQKVTKly9fVJTpgqSqKsqCRDt27GDQaYKk6moqmIhHOuP7GYJEDBotaO9eQVIV + ZYYgkbmFOff9oi+67bEg0ApF/9y7hQ4aL+iZzJs3T9BL1BqgpjlAVdAz9/D0pAt2gNevX+P4laA6 + 6XRaYWGhQCmNJkikwmDU1dUJktJoVLE8+g4/cInCcoRZT5sgEAMd3Z42of8i4Q9fws3rt1y/ds1I + 36AbGpKsAW4EQdBBbfT0nwOCxHWdioN5c+ctWLhAQ13j/Pnzly5fYrPZHZQKrdjZaklcL6KtVOrb + GDF+ixAhaPeRisM9+LxiIq4DfHMPPiIpEo4iTqogCAQCgUg+khWlEQgEDU3NlpYWbA/upqYmFRVV + pK+PgikqKh48eJDD5ly/fh0AMGP6DO7hVByp0IpiqhZfESIE7T7S7n+PYnJICAQCgfRGJK9XsraO + JCUlQ6GgK7jZHE7Fl899PhtfY2Pj1m1bydJk9JQndMaRCq0opmrxFSFC0O4j7f73KCaHhIiWh+np + r16+HGFmZmNrK/LKW1tbTx4/Mf37GQwGg/t6XEwMh4MAAIhEgoKCorWtjYGBASZFECTldvKDB/cR + BDg4Ojg5O+O3gtUGACCTyVqDBo0ZOwZbJPf61av4P298+fLFaIjRzNmz0VGslNvJhYWFPPWoDVBz + nzata3fcF2Cz2GdOn164yAu78uTvvzMeZwwePHi80wQRNoQgSGx0zNjvxg42MsIuXr18xXS4KfcV + QQjyopqamksXLi5b/oMITYWIBMkKJQkEQn19Hfg2tI0OdrPZ7P7QdYEgCIvF+ucY8H7F4kiFVhRT + tfiKECFo95F2/3sUk0NCREV4WFhcTKy1jU3EgfCVPqtW+viItv7m5ubAPXvsHex5QsmggEAKlYJu + B1BXV9fS3OwfGDBz1iwAAJPJXOu7OjUlxW7UKARBzp45PX3G9wFBgTitBAUEamppKcjLAwAamxrf + l7y3srY+deaMFEkqMSFhzY++gwcPVlFVSb59+/cTJy9fv6asrJySkpyakoq2jnA4VBoNAGBiagJD + SQBA1JHD58+ew0LJ69eubd+y1dLK6lBk5EIvr41bNouqIQ6bE7hnz63ExAuXL2EX42JivJcu6Ugo + KciLKisrA/fsgaGkBCJZoSQAQEtLu6zsPbaCu76+niwj29NGiR2+SVI6IhVaUUzV4itChKDdR9r9 + 71FMDgkRFVVVVQcjIy9duTp8xPDsrKz5c+Yu8PJSVFTsntbDwiPsHewBABwOZ/euXUEBgR6entLS + 0tFRUdlZWTcS/kJ7mDIzMhbMm+fk5DTB2QmntoCgwJF2dujx39nZ82bPSUlJdnZx2RsYuHb9Oh9f + XwBAbW3t9GnTYo4e3bJt2+49e9DC//tlZ8WXL5GHD4n3bnsJCIIcijx4YP9+dXV19AqbzQ4KCPQP + CPCY7vns2bM538/08l6kNmCACBv9Ozs7MSHB1c1NCF2+XqStrZ2YfFuEFkJEhWQNHCMIUlNTLS8v + j+7BTaFQFBQU2GxWn//KgcmAIIKAyYAgnaW+rt7La9HwEcMBAObmFmw2u7S0tPvNIBKJXou8a6qr + y8vK2Wx2XGzcej8/bLzbxtZ2qrv706dPO16hpZXVYCOjvNxcNotdUlwyZuxY9DqVSl3otai+vl70 + 99BXCA8LO3rkyCJvb+zK61evmpua3D2mAQDMzMxMTE3v3r0r2kYdHB2Cg4LYLD4rLzMeP445Gp2Y + kIDuBIYDtxexmMziomIAQFNjY3paWuPXxovnz1+8cKGurk60lkM6DSIxoOk59DQGcicDMhtqpKcx + sKdNEy8wGRA+MBkQTAYkciTWo0SeDCg56bap8bCGhoauV8Wd8KW2ttZAR/fVy5c8ZYz0DR7cf4Cd + vnr50kBH9/Pnz8+ePjPQ0a2srOxUi0b6Bo8ePsROGxoarMwtLpw7hyDId45jfH18qiqrBOnu/PmX + H1eu6lRzkkwXs+38FX+ztLT07p07Y0bbo1euXbk63X0aVmCz38YAf39Rmcdisgx0dDMeP7YYPuL3 + EyfQi1PcJl66eBFBkAB/f5MhQxfMnWdlZr7YaxG6Exg3grwoPz8fbSg/P9/W0sp98pQpEydZjjBz + HjeeyWQKbXwfpp8mAwIAaGvrHI6NMx42DABQWPYh59mzGe5Tetqo7gAmA4IIAiYDgghBXV3dhrXr + Hty/vzc0RIFrX1BxgyAcNouNAKS8rCw4aK+BgYGqquqTv/+Wk5fnmVjZEW4n3S4oKAAANH5tvH71 + qjSJ5DZpEgBgb0iI98KFSYm3RtqNdHJ2cfeYRqWKZofVPonbpIkAgIL8fOxKVVWl0re8ewAACpVa + XVUt2kapVNqqH38MDzvgMX06Nr/i7Zu3sdEx5y5esLK2/vDhw9SJkxJu/jVx8iQeXb5exN37WFlZ + uXjpkpU+Pp8/fZowbvyjh48cHB1Eaz+k40jcAHdVVeX37lP0NdXRz2zPaSLfQE8CgcmAIIKAyYAg + wsFkMq1trEfajQwPC+vOEUDvhV5DDA2HGg4eP/a7v7Ozd/nvBgC0trbKysoIUduVS5cO7Nv/686d + QQEB5hYWV/64rqSkBACwsbVJSk1Z6bPq8+cvv+7c6Txu/LNnz0R8J30aFovNvWEsiSTFZDJF3sqi + xd6ysrJRh49gV+7dvWtpZWVlbQ0AUFdXd/eYlsxv+iNfL+JhxsyZAAC1AQP09PQqKytEbjyk40hW + rySBQGhoaKBQKNLS0mgyIABAf5gGAZMBQQQBkwFBhENZWXmlj8/ylSunTpp05tQpkS/iFoSPr6/R + ECMCgUCl0swtzNHuKDqdUV9Xz2azpaSkOlXboagjI+3sqquqFy9a9OXLF2zVCABAQ0Nj3YYN6zZs + yH2b67d+/bbNm28mJor4ZvouMjIyra2t2Glra6usnOhXuJLJZL9Nm3Zs27Zg4QL0yudPn7R1tLEC + Ojq6CX/91VaRrxfxoKysjB5IS0tjeSEgPYJkhZIAADqdXl1djSUDUlRUJBKJCIL07d4LGbKMoYEh + doreL/ZFiyMVWlGQFE/07Xu/04qQLoD/EgUV6IhuZ/2qnRaRdowRrAgDShHT0tJSVVWFRl1EItHa + 2iYvN6/bWh9pZ4euveXGeJgxh8N58/q1iakpdjHmaHROzrPwyMh266Qz6KFh+ye5up47c3bOvLmZ + GRlnTp3eH34AlRoNMfrfrl9nfz+zqalJTk5OhPfSh1FVVeXuyav4UqFvoC+Ohtw9psXGxOwP3Yee + kqRJLS0tmLSlpYXvdwRfL+Khzyec7kVIXCi5Z2/IxvVrsWRAHA7nf7v9+3w4wuawS0pKsFN0HmtH + pEIrClUtoYv2QISg3UcqDvfgK8L+DPlLAUFgnd/+fAUoQkRMYkLCvpDQO/fvoadlZWWDjQb3rEkM + BsPK2vrEseN7Q0PQK0wm89LFi2O/+66DNRgYGMydNz8kOHiK+1RFRcX4GzfWrF+np6eHShsbG2Vl + ZWVkhBlD758MMR5aWFDY+LVRXkEeAPDixXMxpd4kEAhbt2/zXuiFzkzQ19dPunUL+//k5YsXevp6 + 4mgX0p1IXFDvOmnS87d5hWUf0M+LvIIFi7x72iix09raeunSJW1t7TFjxqioqJw6fYr7Kx9HKrSi + mKrFV+w4LBbr+vXr1dUingbeg5SVlSUkJAjxNNp9pN3/HsXkkBBR4eDoWFtTcyw2lslkXr927cH9 + +2KKEu6kpl6/eg393ElNxS+8cfOm69eu7d61Kz8v7+mTJyt/WF5RUbFk6ZKON+e7ZnVLc3PM0aPG + w4bZjhzpvWDhtStXXzx//ucff/y0bfv0GTNgN1XH0dfXH2xk5L/7t69fv8ZGR9fV1TmMcRRTW6Pt + 7R0cHWtrawEATs4uFV8qDkUebG5uvpWYmJiQ4OE5XUztQroN+IcnKcTGxTJZzHPnz9Hp9EOHeNPq + 4kiFVhRTtfiKHSQ4JNhrkZeuni6VRu3tfdIEAoFKow4zGTZ7zuxTp08JUUO7j7T736OYHBIiEhgM + RmhYWGR4xDCjIT9t37EnKBDNiSFyQoND/NavRz+he4PxC1tZWx+IjLgZf9PN2eV7z+mlpaWxx+IG + DBzY8eZUVFQWeXvHxcZWVVXtC9uvraOzccMGj6nufuvWW1habtuxvWt30+8I2bfv7p07ZiamB8LC + 9oaEiLVPd8u2bWigT2fQww9GHj8WZzrUeK3v6o2bN9vY2oivXUg3gUgMEpvpTdzQaFRlFeWcnBws + 697LVy/RAzQKXlfmAAAgAElEQVSvpCCpqoqyIBGaV1KQFM0ryVeK5pXkK8LySvKVonkl+YqEyCtJ + Z9DpDDp6/O7du97rGxQqpaKiAj2m0WnYTbUtxvd43rx5gl4illeSbwE0r6QgXTSvpCCpoDqxvJL8 + pTSaQN/4lleSrxTmleRGhHklW1tbCwoKWlpaRFVhF1MborCYrKJ3ReXl5V2vCkGQz58/v379urq6 + WiS1STIiefhtaW1tffvmbdfTjnbWvJaWlvy8vLq6ui62C8Gn/+aV7J/IyckpqygHf/tZP0BtQAel + QiuKqVp8xY7DneBQV1dXuEokBGyZYbv7OvCl3Ufa/e9RTA4JES3S0tL6+mJZSNEVpEhSOro63Fdq + qqu9vRa1Lblm3brxE8bj16aqqqqqqipK+/oZ0tLSRkPa3xRb5JDJZANDw/bLQXoJMJSUCL5+/RoR + HoGlyQgMCuygVGhFMVWLrwgRgnYfafe/RzE5JKR/QqPTr/35R09bAYFAhAeGkhIBm80+dFjgvDEc + qdCKYqoWXxEiBO0+0u5/j2JySAgEAoH0RuCyGwgEAoFAIBCIkMBQEgKBQCAQCAQiJDCUhEAgEAgE + AoEICQwlIRAIBAKBQCBCApfdQCAQiKRAlCIa6kroPnJaWpoSa1ufR8IfvoSb12+ZOGli92TLgqEk + BAKBSAocNie/6F1PW8Gf8LCwNevW9bQV/RQJf/gSbl6/5Y/r128l3uqGhuAAN0TSaWpu6mkTugSL + xeppEyAQERAedqCnTei/SPjDl3DzIOIG9kpCJBEikcjhcKg0KgCgt+/Braqmiu1zg+5CC4FAIBBI + nwF+sUEkkRfPX2ARJIIgPWtMF+GOI98VSujYJQQCgUAgwiGJvZLKKsqCxgRJJFJlRWU32wPpfjQ1 + NWuqa9BjtG+yV1NbU9vTJkAgEAgEIhYkMZRksVgEAqFtXxSBQIDTziAQCKTjJN26paurO9jISOQ1 + x8XEcDgIAIBIJCgoKFrb2hgYGGDSh+npr16+HGFmZmNrCwC4cO78EOOhZmZm3DUkJ92Wk5cbbW/f + tjxETLBZ7DOnTy9c5IVzpeu0traePH5i+vczGAwG93V8n0EQJOV28oMH9xEEODg6ODk7d7Z+jGdP + n2ZnZXkvWYLOKUIQJDY6BhVJkaSUlZXHjBlDo9O5VaAHdgVJDCUBAAiCtO3I6QO9UxAIBNJtlBQX + r/nRd3fAHnGEkkEBgRQqhUyWAQDU1dW1NDf7BwbMnDULABAeFhYXE2ttYxNxIHylz6qVPj6PHz9K + TUk5fDQKU0cQ5Oefftq2Yzvf8iK3FoISdeTw+bPnuAPHtle6TnNzc+CePfYO9jyhHo7PMJnMtb6r + U1NS7EaNQhDk7JnT02d8HxAU2Kn6MSIOhN+/d2+YiYndqFEAAA6bE7hnD4PBkCaTWUxmTU2NvLx8 + zLE4K2trtDz0wC4ioaEkitoANQDA50+faTRaTU1NT5sDgUAgvQn/3buxqbriICw8wt7BHgDA4XB2 + 79oVFBDo4elZX19/MDLy0pWrw0cMz87Kmj9n7gIvL1c3t40bNrS2tpLJZFT3ec7z6qqqcePHV1VV + tS2vqKgoPrP7JwiCHIo8eGD/fnV1dUFXugG+PiMtLR0dFZWdlXUj4S+0nzIzI2PBvHlOTk4TnJ06 + 28TXr18fpqdPneZ+80Y8GkqiHIqKsraxBgDU1NRs9vNb47s6+U6qrKws9MCuI7nLbpRVlD9/+vz5 + 02cAAIwjIRAIpFOkPUgrLys3MTXphraIRKLXIu+a6urysvL6unovr0XDRwwHAJibW7DZ7NLSUscx + YzhsTsbjx5jK7aQkewcHRUVFvuW7web+RnhY2NEjRxZ5e+Nc6U64fYbNZsfFxq3388PGu21sbae6 + uz99+lSImu+m3hmkre0+bVpiQgKbzW5bgEajBe/bV1dXdysxEQAAPbDrSG4oyWKxaHQajU4DAFCp + VGUV5Z62CAKBQHoHbDbb/7ddP/3yM4HQTf/JNzU1AgDkFeR1dHV2/PIzevHunTuycnKDBg2Sk5Nz + HDMmJTkZK598O8nVzRUAwLd899jcrxgyZOjNW4mOY8fgXOlmMJ95+eJlTXW1i5srtzRk3z6/TRuF + qPZWYqKjo6PdqFFNzU2PHz3iW4ZKpdrZ2WU+zgDQA0WB5IaSPPThBTcEAoFGo9FoNCKBoKKiQuQ6 + JRKJREAkEgjoB5ViHwIAghQVFRUBAQhWFNgilULlLsmtSCAQZMgyfLVUVFSIQGBzgqazQNqFSqXy + PEzslAAIBMDvRRAINBpNQVFB0HskEggkEokIiIJ8gICvKMBduf2tjecAIpEoSJEIenfeUMnkzKnT + urp6I+3sxNoKgnDYLDaLxSopLg4O2mtgYIDt0lZXV7ds8RKflSv9A/YoKCgAAFzd3FJTUlFpaWlp + fl6+k7MLVlXb8hDR4jZpoqamJv6VboCvz3z8+EFOXl4k3xRMJvNOaqqTizOZTHZ0HHMzPl5QSQ1N + zY8fP2Kn0AO7gkTPlcTSwdTW1vbhNTc0Obmrf9wAAHhOdC3IL9DX1MBOGQyGggxZAfwzuwiVcusK + Uty6devRiHCsWMcVY2NjUxP+EqT43bhx340b11YLPVUQoJV8+9+uCEinOHToEACA+2HyPFu+LwI9 + TefqAeLRMjQ0VJAhC/IBbgN4FAcNGkSXlxdCUUFBoYOKkK5TW1t7+ODBsxcuiLsh74X/rtVQVFSM + ionGTplMprWNNZPZGh4W9t24cRQKZdyE8Vs3by7IzzcwNLx9K8naxobOoOOUF7fxkB6Br8+0trbK + ysqIpP6H6elSUlLW1jYAgAnOTgH+/r/+9hvfkmQymbuLCnpgV5DoUBINH2tramk0GolE6sMdkxBB + 5ObmSktLM5nMnjZEeKSIUg0NDXASN6TbOLB//8TJkwaqD2xpaQEIwmKyWCwWiST6/+19fH2NhhgR + CAQqlWZuYc7t5MrKyit9fJavXDl10qQzp06t9PGhUql2o+xSklMMDA1vJyW5urlxV9W2vMithUgC + fH2GTmfU19Wz2WwpKaku1n8rMZFAJK5cvhwA0NDQUF1V/TD94SiuxTcY1dVVdK58QNADu4JEh5JY + PiB02U0f7piECEJdXZ1AIMjKyfa0IUIiTZKm0WlwuATSnTy4d7+wsPDEsePo6bNnz9LT0g5ERoi8 + oZF2duhqXG5aWlqqqqrQRcFEItHa2iYvNw8VObu6xv95Y/bcOVmZmSH79rVbHtL34OszxsOMORzO + m9evTUxNsYsxR6Nzcp6FR0Z2vHIOh5OcdNve3l5rkBZ6paqy8mb8Db6hZM7TZ9/PmgWgB4oCyZ0r + SSKRuJfd9LQ5kJ5BSUnph2U/sFnsO3fu9LQtnebcuXNMFnPDhg29fRtxSO8ieP++0+fOoh8DQ8MV + q1auXrum21pPTEiYO2s2dlpWVoamdQMAOLu4PH3y5HZSkomJyUD1ge2Wh/QTGAyGlbU19uMHAMBk + Mi9dvKiuriFYiQ9Pnzypqqra5b9705Yt6GfeggW3Em+x2LxDmg/uPygqKnJycQbQA0WB5PZKVlZU + wm5ICADg519+Li4u9vD0IJFIvWikW4okxWFzvL29V65Y2dO2QPoX3JvKKCoq6hsYGA4e3G2tOzg6 + 7vzp52OxsQu8vG7Gxz+4fx9bh6umpmZianowImLW7DkdKQ/p1dxJTc19m4seU2nU78aNwym8cfOm + ebPnUKiUOXPnNjQ0RBwIr6ioWLJ0Safqv5WYaGllyd335Ozq8tuvv6anpQEAHty/V1Za2spszc/L + P3vm9Jy5c/X19QH0QFEguaEk4Brgrq2FWxj3X+Rk5U6fPp2UlHT37t3zF8+jqUYlHBVVlQXzF0x0 + m2gn5iW0EIikwWAwQsPCNm3YsGe3v6yc3J6gQONhwzCpi6trUEAA90RJ/PKQ3ktocAh2bGxsjB9K + WllbH4iM2PW/X4/HHQMAGBgaxh6LGzBwYKfqT7p1a/acudxlNDQ0TIcPR9dxR4ZHAABIJJK6hsbS + Zct81/zTVQ89sOtIdCiJLbtRVlaurKyUlZFtbmnuaaMgPYOzs7Ozs/Pu3bt72hAIpDdx+dpVMdX8 + tiBfkGj8hPGPsjLfv3+vpaWFbW+D8sOK5T+sWN7x8hDRMmbs2LtpD/CvdB0KhZJf9K7tdRyfAQC4 + TZzo7OxSWlpKliHjb8AjqP5kfvOgrv35BwAgODQUp0LogV1EokNJrFeysrISAPDp0yc45A2BQCCS + j7S0NDp6KKbykD6JFElKR1cHO62prvb2WtS22Jp168ZPGC/apqEHdgWJDiXRNTc11TV0Or26uhoN + KCEQCAQCgfR5aHQ62qcIkXAkdwV3WwyNDHvaBAgEAoFAIBDIv0h0ryS22011dTUAgMPm9Kg5EAgE + AoFAIJD/ING9klQaFZ0cqays3NO2QCAQCAQCgUB4kdxeSWUVZZ5lNxAIBAKBQCAQiUJyQ0kWi8W9 + 7EaJotTTFkEgEAgEAoFA/oPkhpI8wCzlfQYSicSd1AknwROVRo2MiJwyeQqR2NGZGAiCnD5z2sDA + wMbahkQSsXs3NzdnZmZWVFR4enp2SuvK1Ss7duyoqqrCKYY9B5GbDYFAIBCI+JDoLy3uZTcwo2Sf + YcvmLXsC9qz2Xf3bb7/xiMrLy0P3hT5//pxMJufk5Giqay5btqylpaVT9ROJRHNzcw6HExcbZ2Bg + ICqznzx5suyHZQPUBjx89NB7sXendGVlZdGMuwb6BvIK8hbmFmvXrmUwGNxl2Gz28hXLr169GhYW + JiqbIb0OOp1uqKvX01bwh0JRkljb+jwS/vAl3Lx+y+Qpk21H2nZDQxIdStLpdABAdXW1srIyiURi + sXh3ZIf0OkpKSgKDAiMiIhYuWMgjSktPmzt3Lnf3M51Ob21t7WwTHA5n8qTJsnKyCxcuTE1NlZGR + 6arRANTU1MxfMP/nn39+8/pNWnpaZ9VbWlqampo0NDSmz5guLycffzPeytrq8qXLlpaWWBkpKanY + mFhDQ8OtW7d6engqKip23WxIr6O6uprvNh6SgKGunsTa1ueR8Icv4eb1W/64fn3TBr9uaEiiQ0k0 + BxD4tuwGdkz2AZ4+e4ogyIL5C3iuNzY2rlixgmcaQ1FRkaKioq6urpWVVfiBcB4VBEGKi4ubm5t1 + dXVlZWWx61Qa9eGjh6ampqpqqreSbk2dMrXrZp87d278uPFJSUnS0tKAax8mAMDXr1+LS4qpFKqm + pmZbRSqNqqqqqqmhSWfQL5y/gG7J5e3tvWPHDhdXlw/lH9AKMVYsXxEYGJibm8sdZUIgEAgEIrFI + bihJIpGUVZTZbDa2201PWwQRARPdJnI4HAKBwHP9dvLt9+/fIwAhEoirfVenpadlZ2cDABQVFF+9 + evXixYsTJ050vJXbt2/fvn0bAHDv3r3qKhF4zrbt2zicf9Oacv+qIRAICIIIUiQQCGw2Oy8v78aN + G9xbu/70009nz529cuXK7Nmzucujo94WFhZdt7n/wGQy3759a2Ji0tavIBAIBCJuJDevZGVFJZvN + lpKS6mlDIKKEpxMO4/OnzwAAAiBQKdTffvtt+Q/L0bCgtrb2xfMXBALh86fPtTW16Gfz5s2ysrL/ + 2/m/1JTU+/fuH4s7pq2tPXrU6C+fv6D9hegs28+fPnPHf12Bw+FUVlQCANB/a2tqi4uKjYyMTExM + Lpy/kJCQcCf1zrq160gkUnh4OGbnmzdviERiakrq18avPLu7ysnJbdq06UD4Ab5hKAyJ+KKto43m + muX5qKiq2DvYc2/dC4FAIJBuQ3JDSSqNiiAIi8Wi0qgchANHt/s2enr/TNmurau1srJavXo1GmPp + G+hramoiCJKTk4MWePnqZUhIyInjJzgczlT3qWPHjj146GBMdExefl7U0Si0DBqKiWSWJAa6sBpb + Xr0nYA+BQIiOjo6IjHB3d58+Y7q+vn5QYNDWrVs/ffqElnn86DGbzdbV1SUQCI8ePeKp0HuR98eP + H9HeU0hHqK2tpVAos2bNolKpJBIJ+zcyMlJTUxMmeYBAIJAeQRIHuHFW2MA8KX2VsWPHWlhYPHny + BEGQ/IJ87Pq6tevQg8ysTBsbGwDAhQsXRtmNKikpOXzk8Pp163V1dbdu27pu/bpVK1edO3dute/q + brAWQZALFy7sDdrr4+MjKyt75fKV+Pj4HT/t2Lt3r6qq6p9//rls2TIAQGZmJlre3d09LS3Nzc2N + uxI5ObmlS5eGHQhzdnbuBpv7Bju275g0adKFCxcAAAQCgcVi1dbWLlywcOGChfDXJjcfPnx4/eo1 + djrCbISKiooI629tbT15/MT072fwJCKIi4nhcBAAAJFIUFBQtLa14c6igCBIyu3kBw/uIwhwcHRw + wvV8QU2gPHv6NDsry3vJEixTGIIgsdEx6LEUSUpZWXnMmDE0Oh1TefL33xmPMwYPHjzeaYKw9927 + YbPYZ06fXrjIC7uSmZGZ8+ypurqGs6uLoCGjrvDi+fOEm399/vxZa5DW9O+/19LSAt/e1Njvxg42 + MsJKXr18xXS4qeHgwfgvESKZSGJkho4hQvoVJBIpJjpm3vx5b9++Ra8QiUTfH31nzZqFnmZmZoJV + AABQVFQ0zGTY+/fv1VTVNm7cCABIvJV4J/WOiYlJ6L7Q7rG2tra2urraxMSksLBw27Ztjo6Ojo6O + 0THRH8o/mAwzKSoq+sfmrH9CSU8Pz53/27lr1y4CgZCXl7fjpx2DBg0KDAhctXJVZGRkRkaGrW13 + 5GvoA6xcuRI7Tk9LH2YyrAeNkWSuXLq8P/TfP4fDR6OcXVxEWH9zc3Pgnj32DvY8cV5QQCCFSiGT + ZQAAdXV1Lc3N/oEBM2fNAgAwmcy1vqtTU1LsRo1CEOTsmdPTZ3wfEBTY2SZQIg6E3793b5iJid2o + UegVDpsTuGcPg8GQJpNZTGZNTY28vHzMsTgra2sAwPVr17Zv2WppZXUoMnKhl9fGLZtF+DR6C1FH + Dp8/ew4LJfeHhsbFxo0cOfLVq1cnjh8/c/6caGeUhe3bFxkeMXzEcE0tratXrkZHHY09fsx25Ej0 + Td1KTLxw+RJWOC4mxnvpEn19A5yXCJFYJHeAG9LfMDQ0vHf33v59+5csWbJ2zdqkW0nciSczMjLQ + A2Vl5Y8fPg5UH9jU3PTo0aOysrK83DxABB8/fsT6XdBk4IGBAr+lhCAoKAgA0NDQAABQVFQkk8kf + Pnyg0+lvXr+pqKhITExUUlKSkZEp/1CObhnf2tr6/PlzVNfV1fXz58/Pnj0DAGzdtpXD4Rw/fjw4 + JJjBYMyfPz88gndxOqQj8F0yD0EpLCzwXbM65+VL9DN+Qvf1w4WFR6Q9epj26OGzF88XeC0MCghk + MpkAgOioqOysrBsJfx07eeL47ydPnTlz5fKl5CRhJnh8/fr1YXr61GnuN2/E84gORUWlPXr4ODvr + cXaWja3NGt/Vzc3NbDY7KCDQPyDg9zOnT545HRsT8/nbLJR+AoIgByMiw/btx658+vgx6vCR02fP + xhyLS0i6VVpaeuPPP0XYYkpyypFDh49EH736xx+Rhw4lpSQ7u7hs27IFmx3+d3Z2YkICX12+L1GE + tkFETn8MJYuLi4VLUVn+obypuUnk9kAAACwWi8lkysrKLlmyZP++/bt27bL+78/Q5ubm8g/lAAA3 + V7ek20lmZmYa6hpuE92sba1z83NDg0Nj42InTpyIFnZwdAAAZGVnAQBiY2O7aJv/Hn8AQG5eLgDA + yckJAEAikZwmOEXHRAcEBFy9dnXsd2NnzZ5lP9p+6NChOTk5Lq4uAICcnBxDQ0O0Bnl5eScnp2vX + rwEA/s7+m8ViBQUGXb12FUEQ3x99ExMT8/PzBTYPgXSewoKCocbG8gry6KdH1i8SiUSvRd411dXl + ZeVsNjsuNm69nx823m1jazvV3f3p06dC1Hw39c4gbW33adMSExLYbDbfMjQaLXjfvrq6uluJia9f + vWpuanL3mAYAMDMzMzE1vXv3rtD31RsJDws7euTIIm9v7EphYaGJqekIsxEAAAqFYjfK7tXLVyJs + 8fDBg3Pnz8PmMJBIpJ27fq2qrCorLUWvODg6BAcFsVn8Xx8K90sUoW0QkdNnQ0kOh6M2QI3ves8R + ZiPUNdRxdAUtFDU2Nh4yZEi33UI/oaioiEanKasoq6iqUKnUly9f8i1mbW2dlZUFAHB2dra3t1+z + Zs2uXbsOHzq8bMmytPtp58+f//Tpk98GPwCAgoKC10IvGRmZvUF7AQC7/Xd35Rfth48fjh07BgDY + snmLvJy87UhbKpUKAPhl5y/37t1LSkpKe5Dm4+OTkJCwbNkynx99Fi1aZDLMBACQlZXFHQ17TPO4 + fv06ACAxMTE1NdVvo1/u21w6gz533twJThNgx2SnoFKp6FvADiA8vCt8h3CQ0OCQY3FxX79+7Skz + mpoaAQDyCvIvX7ysqa52cXPllobs2+e3aaMQ1d5KTHR0dLQbNaqpuelxmwVtGFQq1c7OLvNxRkF+ + gZ6eHjar0sDAoKCf/XgbMmTozVuJjmPHYFdGjR59+dpV7LS4qJjOENmUxJqamqdPnrhPm8Z9kUql + ZvydrTVoEHr64+rVVZVVZ8+cxq8Ke4misg0iDvpsKJmfn9/S0qKqqlpbU3v/3n0GgzFu3Dj0WEVF + BWcPlZaWltraWjk5uXeF7woLCgcOHGhoaFjxpaIgv0BLSwuuEhUtnz59MjM3+zchDgGMth+dm5vb + tiSDzkD7FwkEwu8nf7ewsJjgNCE4JDg5JdnG1qaouOjPP/5UU1MrKSmRlZVdtWrVpo2brKytAADN + Tc11dXXcVRUVFW3fsX3turXcfcyVlZWrfFbt2rULzYePUVVZhV4ZaTcyICBg/br1srKytbW1xkON + r165mpKSYmtre/bs2WXLls34foanp2fw3mBU8cSJE+oD//3F4ubmVl5e/vz58ydPnmAXEQR59epV + anIqGgp36VFCIN/4/OlTQ0PDrzt35uflRR06/L3n9O4cH0QQDpvFZrFYJcXFwUF7DQwMVFVVP378 + ICcvz3fWY2dhMpl3UlOdXJzJZLKj45ib8bxj3NxoaGp+/PixqqpSiULBLlKoVJGkm+1FuE2aiDMh + 5PGjR89zciZPniyq5srLyhAE0ftvBjTw32RwVCpt1Y8/hocdQGcN4YC+RFHZBhEHkrjsRiQYGRkB + AI4cPqKto81isRQUFFJTU6k0KpVKLS4qptFpghTRDDL79+83tzCvq6tTVlYuKSlBO8zwFSFCMHzE + cACAmppaWFiYnKyc30a/wsJCW1vbmpoanpJ+fn6ubq6PHz8eOXKkgoJCbEzs5k2b09PTm1uah5sO + Hz16NAAgLT1tzZo1O7bvoFKpmzZt2u2/GwDQ2NSopqaG1ZOSkuI53RM9Pn78eFuTQveFZmVmDR48 + GD01MTFBk1NyOBxvb28AwLx58zyne+7ft9/Ozi4rM+vu3bu5eblKSkrjvhs36NsP7vib8RWVFStW + rEAHxwEAioqKE8ZPuP7H9ZiYGACAhYXF7t27S9+Xrt+wvrGxkUAgDDUe2t++3iBioqWlxdXNbdtP + O7S0tKqqqia5uJ45dWrJsmXd07r3wn8XCCsqKkbFRAMAWltbZWVFk5zrYXq6lJSUtbUNAGCCs1OA + v/+vv/0maASfTCazWCwWi82d/YNEkkKnb0IAAOXl5evXrPXx/XGQtrao6vz6tREAICcnh54eOXTo + yqXL6PGPa1ZP+bYD2aLF3r+fOBF1+Ah+5zT6EkVlG0Qc9NlQEsXJyQkd3ElPSx8zdgzap9iR/M9z + 58zdsmULhUJ58ODByJEj0V5MmDha5LS0tAAAfvT5cfKkyQCA7du2L/thGQL4ZO02NDQ8cvjID8t/ + KC8v5/s1QCQShxgN+fmnnz08PAAAgoL+Gd/PaNcqWzvb6ko+UR2NTquprtn5y85jx44t8l5UXFzM + NwW6lJTUEKMhZ8+c5Rl7dXd3D90Xiu7b5O/vbz/aHgCQmZUZExODIIhIOmwgEADAIG3tg0cOo8cM + BmPy1CnCTUkUDh9fX6MhRgQCgUqlmVuYo7vJ0+mM+rp6kew6cSsxkUAkrly+HADQ0NBQXVX9MP0h + Oj26LdXVVXQ6XUZGhnskqrW1VVZOlm/5/saXL18WzV9gaW21eu1aEVbLYNABAFVVVerq6gCAYSYm + 6PM/d+ZsXe2/Y0RkMtlv06Yd27YtWMi7lS436EsUoXkQkdNnB7gxFBQUFBQUdHV1hVPnHqOEiIn3 + pe//OXj/HqeYs7NzzrOc0vel2HYyu3fvptFoK1asGDp06Pjx4x89eoTGkQAABEHevnnbthLu4O/t + m7dYVdxl+OZXy3mWgw7EEwiEJUuWPH3ydO6cuVZWVkuXLlVVVc3MyMSqKi8rf/jwoXWb7BWTJk0q + Li5GJ2yVvi/luWV03TcE0nU4HA73pA5FRUX0N1v3MNLObsrUqZOnTHFwdEDjSACA8TBjDofz5vVr + 7pIxR6PX+Pp2qnIOh5OcdNve3t5oiJHRECNLK0sDA4Ob8TcElc95+myosbGqqmplZQV2seJLxYAB + AzrVbp+kvr5+idciTS2t/QcOYBNJRYK2jo6SklLmt7QbY8aOXbNu3Zp169TaPHZ3j2n6Bgb7Q/fh + 1Ia+RBGaBxE5fbxXEgDw//buO66J838A+BMSIjskggLKkEAABUFBZeOCVoZatV+3IO3Pumu1atFq + 66xbBAcogto6Whxg1QoOZIi74q6IyMYBhLDCSHK/P66eKZAQkhBC+Lxf/nG5e9bdc5JP7p57jhjd + iC9IPthR6oxAcnR9OruSHRsbW1lZqaWpdfLUSYSQ+D9qGhqfLif89ttvmzZtmjF9RlFR0QD7Ae/e + vRP+hjAyMsIXRE1e3X9A/4ryCnyZTCYTj4I21De0zGJu/p/38nHruYnnE19lv9LS0jLqbXT8+PF1 + 69a1bK+MYYUAACAASURBVKEwPT29xsZGPB5d8t2Su/fuFhUVJX18MtHW1lbMXgMgufMJiTu3b0+/ + lYl/fPH8udXHARudhcFgOLu4HI07sm3nDnxNU1PT6fh4n+HD21VO1sOHFRUV6zdtJC759+rVOzIi + YsPGTS0TZ6Rn5OXljfbzRQjlvs6tq63T0tZCCD19+qTZEyHdU9iKldQePQ4cjJb75OTq6ur+gQFR + +/f7+vkRt7l5PF5NTXWzlCQS6YdVYSEzZ+nq6rZalHAnAqWl+qEkUCqPHz8Wvu37+vXrngY9BQLB + 6dOf5qotKS6RsDRdXd3iomKEUGFRIZlM1tLSIjaRSCQiHCQuOr5588ZpkBORhs/ntxplkkikgvwC + vY/j9PE0NH2a8AgHdYo6mUx+//69hYVFUXGRuZlEL4DWp+mzK9kIobq6OnzQJCF0dihCSCAQ6Orq + FhYWEsMuAWgvDy/PtWt+jD4QNfur0EsXLqalpv24dm1HVHQjJSX75b8PydH0acNHjBCT+PsVy6dN + nqJH05sydWpNTU3knoiysrLQr0LbVcXtW7cGOw8W/hvi+5nfhnXrMjNvurt7IIQy0tOKi4oamxpz + XuWcPHF8ytSplpaWCCFrFmvTxg2rfvzx1IkTVVVVnt5esuy4Crh39+7lv/5asnRp8uV/f82aW5g7 + DRokr/KXLV8e5B8wedKX8xcsMDUzzXmVczgmprSk1Ma2+Swo7h4enl5eaULTM4nqRKC0IJQEClVa + WlpbW9vQ0IA/3kQmk9kV7A0bN+zcuZNEItHp9OyX2ZL/RA77IWz69OkJCQm5b3KXL18u/Lu2kl1J + hInceq6mhiYSetM3gYgyhWNKDMOIOBKf7Zwok1imUCibN28eMXKEgYGBhobGhvWfZlMXIy8vb+Gi + hb/++iuxBr8aGjwreMSIEQihwsLC6urqquoq0WV0a3fu3MFnwuPxeCwbFo/Hg5eptmRoaLhj164f + lq/YsW0blUpdv3GjmblEP3Xaa+f2HcSynZ2d+FDS2cVlz97I9T+vOxIbhxBiWlkdjovt/fHWgYRV + 1HHrJk+ZKpzAxMTE3sHh0oWLeCi5NyISIUShUIxNTL76+uuFixfjyXbs2hUaEvz7yVNa2lp7IiPx + vz/d2fVr1xBC4bs+3Vn+cvJkOYaSDAYj/uyZNatWL1qwAMMwEonk4emxLTHB1ta25VySK8PCMtLT + iY+iOhEoLfgrDBTKzc2NSqUeP348NPTfqxEkEmntmrVr14i8aoJhmK5e6/c+Ro0adffu3ZuZN21Y + NoMHD241jZqampHorysiglRTUxMeRinJC51nTJ8x5vMx7z+8Z1mzWn2YoL6+nqbXvJzIiEgXZ5d9 + +/fhcx5R1Cma2prh4eH41rgjcX369LGzhYFBrZv05aQvvvgCIVRbW2tgYPDu3TsajZaenn7w0MHO + bppy8fvss+EjRhTk5xsZGxMDFuVIT08vJ+9Ny/UvX4ubr/HzMWN8ff2KioqoPaj4AxlSVNFSwp/n + 8QUx6Vk2rJS0tDe5b/r07aOtrS1JsarH28cn9WYGvrwyLGxlWFiHVmdiYnL4SByHw3n39l2vXobE + q7TJFHKznrKxtcnOfY0vS9jpQKlAKAkUSk9Pb/Xq1at/XE3tQZ02dZokY71JJJJBT4OMjAxPz1Ye + 0jQzMzMTO4eFurp6Q0MDhUIpLysvKyuzsrbCMEyjh0bLeRw/fPhgZ2fXxGsik8nv372nUCg9DXry + eDwSifRp5sv/6tmzp5jHZRISEhwcHFruTkhISEhICIfDaWxsNDQ0xNc3NTXtP7B/7969h2MOy3cI + vCoxMjJyc3OL2BNRW1ubciNluM9wHR2d+fPnp6SkdHbTlA6VSu30IZItkSlkc4v/XCKtZLNDZgW3 + TLl4yZKRo0bKsWp1dXWWDUuOBQJJwHsEugPVDyWJk5hGo3E4HMnPaSIlfr0Kvt3lZeGChWpqaitX + rly1ahWJRGo5hWSrAgKlnD4Xf3aVx+MJX2isb6gXc92Rz+f3NPgUIBJxpCSXKluSJJeOjg6GYVpa + WtFR0ePggQARaDRadnb23Llz586d2+pWxTcJyE6fTicuKwIAuiLVDyVlp6ury+FwRD1fBqQwf978 + kOCQe/fuGRkZSfguSh6PV11d3YVmFysvL6fT6RL+AsnKyqrj1jkPdoYhXGIU5Bd0dhMAAAA0B6Ek + 6BxaWlo+Pj6Sp6dQKF0ojkTtnCfSycmp7UTdnpm5mZg5uWg0GsSaAACgeCp+0xb/4sEwzN3DvV1v + yiopLcEzfvb5Z4qc3RcAIAqHw2EwGNu2buNUcl7nvI7YE5HzKodTyZk2dRp+66CzGwgAAN2Ryl6V + LC4uRggNHzEcwzAul1tdXV1XV4cQqqqqWrBggZiM+PudPD09MQzDMCw3N7e+vh5fv+z7ZQppOwCg + dU1NTa9zX+OXJ83MzBZ/u5hGoyWcS9DQ1IiNje3s1gEAQHekslcl9fX1EUIuLi6FBYXv3r7btHET + /mq7mEMx58UO8cYnNWQymW9y3xQVFkUdiLp65SqnkpN0OemPP/7ouAYHBfhTqVTLPiYIoRE+3orJ + qKWlhWdUQHWgQ+F9YdnHhEqlBgX4tyuvZR8TbW3tduWSujpZ2okQSktN2/LLFoSQrq6uro4uPoJ5 + 8ODBu3ftbm9RAAAA5EJlr0pqa2tTKJQ//vij1fhPzLTGJBKJQqHcvXtX+BleSTLKqKampoDNxpcZ + rQ0KJJFIeABXWcfV19KUT8bCQlG5qhsaaZoaLTNKXR2QEX48LfuYiJqcKPfjW4KE+4XIpaamVsWt + 1+lBbVlsBZuNWvSmdNXJklESxEsv1NTUMjMzzczFzQMF5Gvxkm87uwndl5IffCVvHuhoKhtKIoTK + y8oVnLFDsWvrWv3K76CMfD4/p7AIX25XRqnbCdqEB2EMOr1dMbrUoZt01cmSUULEsEjVGx9JJpOt + LJq/k0lJ9O3bJyJ8T2e3optS8oOv5M3rtvz9/Y2M23ihlFyocigJAABdC5/PV9q3fVhZ9FPatqk8 + JT/4St68but8YmJSUpICKlLZsZIAAAAAAKCjwVVJpRAU4C88Bbqenl5QYADRNxxuPYZhenp6+Mce + VCqHW0/T1JAl49jAAGI9jUYb4eOto6MjnFF4VKiamhqesV3VUYWqAzLicOup1H+HOerp6VVWVZFI + JOLYBgV86k2EkK6ublCAPwUhDrdejUQi1qtTKMI9EhTgX1NTQ7wkRk9Pb2xgAFmG6mTJCAAAoIvq + jlclnz1/hs/v0145OTkdMTZLV1e3pqYmv+DT7Mp5+fk11dXERwzDKtjsvPx8/GPpu3f40wyyZKyu + ribWv8nLq2Cza2pqhDO+//CB+FhWXo5nbFd1bz9WB2SHYdjbjy8Nz8vPr2CzhY9tTc2n3kQI5RcU + 4L2JYVhZRQWx/t2HD//NVVPBZr/JyyOKra6u1tHRka46/DeG1BkBAAB0USp7RYDP5/ez7Ccq8hP/ + YoxevXuJmpacTCZXlFe0uklqj/552erzEPizC3p6epV1XEVm1NXVFZWxVW1WBzoIfoUvt7ikXc/T + 4MGiqFyPX2aLmh9KfHWP/nkpdUaJ2/4v4kqqhO+lBAAA0HFUNpTMzc3lcDiGhoYNDQ08Hk9TU7O8 + /N/nss3MzAoKRMaRDQ0NDQ0N2traZDIZwzANDY3y8nKBQKCnp2dsbPzyZbu/9iTk5OhItMrM7NMU + J1kv/sG/gIkE+FbisVzZM5qZmWU9ekRkxAPNllvxUEDq6oDUmh15okeyXvxDpGnZL0To1qxHHr/M + Fi682Vapq5Mlo9TgiqYY+Xn5V68kMxg9A8cG4dPlytfFCxeMjY0HOzsjhGJjYgSCfy8/U6nUvqam + 3j7exCAZDMNupKSkp6XxmnjOLi6BQUFkCrnN8l88f37xzwsfPnxg2bC+nDwZ/0FyNO5Is/eW0Rn0 + iZMmtdkG4dYihB5lZT24fz8kNJT4NdLY2HjsyNEJkyYyGAzZDozy4vP4J44fnxk8i1hzKzPz+bNn + Ax0dhwwdKseKRB1Moo/U1Eja2jouQ4cwmUxiK4Zh169ey8hIxzDk6eU52tdXTBUnT5yorakVXuPm + 7jbA3l78aYBr2fsYhh0+FKOlrTVt+nThlInnEqqqqvAjJubcawkv0Ge4jzWLRaw8d+asvYO98BqV + obKhpLW1NULo6NGj/v7+CCFNzU+Tkjx5/ISmTxOVsUePHgihqANRM2fNRAhpaGgIBAKEUFVVVWFB + oZiMHU042mvXVSjpMgrnahep2wnEU3CPyKU60FluZtycO2eOs/Pg3Ne5p06ePPH7KTK57eitXY7/ + +purmysenG39ZUufvn21tbQQQnXcusKCQmcXl99OnCBTyHwef8m3i5OTklxdXanUHqvDwn779dfD + R+KER822lHT58uIFC62trQ0MDa5dvfrr0WNnEhN69uy5Y/t2DY0eVGoPIiWTycS/zsW0oVlrEUKR + eyLS09L6Dxjg6uaGr6mvr9+yebOHp4cKh5LRUQd+P3mKCCUjwsNjYw67DBkSuSdi7vx5c+fPl1dF + og7m1l+26NH08O6rqqpqqK/ftOWXL//3P4RQU1PTtwsXpVy/7urmhmHYyRPHJ0yc9MvWLaKq2BsR + 2VBf30Pj01h8Gk1vgL29+NMA17L3BXzBls2bEUKjRo3qbWRE7MWqH36gUqn4ERNz7rWEF5iclPTH + mdPEytiYmJCvQlUylESY0tCj6XVEgXo0PT2a3rt37/AFYqWEGdlstuQZpUbX1xezBl92HDiQrq9P + 19fHFzo6o3AuYqvU1QEZieoRYmvL9FhbPSKmN6WrTpaMkiD+9+nT9fXp+o8ePTI1MzU1M222tV1F + KZvBAx1lL4TP5/t4eh07chTDMA6H4zJo0LUrV2UvlmluIfxx6v8m79m9G19mWTJv37pFbHpw/74N + 0yo5KQnDsOgDUc6OTk+fPME3lZSUjPTxWb50mfi6Rvr47IuMxJcrKytH+vhs2bwZwzB7u/6pN260 + mkVMG5q1tqampj/LZtl3361ZtZpIz+FwmOYWz589E9+wztLs4LeXQCDYGxFp3c/S290DX1NeXs5i + Mh8/eoxh2P1792yYVtXV1fJqnqiDybJkZqRn4Mt8Pn/dTz85Ow1qbGzEMGxfZOTQwc45OTn41rt3 + 7rCYzKvJV0TV6D7M9c/z51uuF38aYCJ6n9fEY5pbODsNiv/jD2JlyvXrA/sPcLJ3wD+KOfdawgtk + mltc/usvYmXg52NOx8dLWIJcJCYksCyZCqiou4w06tWrl3QZ8RcwKoCToyODTsf/OTk6KjKjYqoD + HaqrnAYynj8bN218/uK5rq6uQCD48n9fEoOh+Xx+e4tSYU8eP66prsZv1enp6W3dvqOvqakiGzDY + 2dmaxXqVnc3n8w8djF66/PsB9vb4JmNj4w2bNp07e/b9x8ezWuLz+AX5Bd4+PvhHGo02c1ZwtdAT + fu1qQ8tNqSk3TM3Mxo4bl3T5cjc5cyLCww9GRQWHhBBrqquqZ80KdhjogBBychrE5/OLiooU2SQ1 + NbVZwSGVbHZJcQmfz489HPvdsmXE/e4hQ4cGjR2blZUlYy0tTwMxve/p6ZmemkZ8TEtNdffwkKV2 + Ty/P7Vu38nmqf46p7A3uLqfZbcGWNyJF3aaUV0bx7ZG9OiCjdvWXqI7uoNNDLhklER0dnZeXV5Bf + EBMTs2btmq1bts6dO3f/gf2bN29uVzmq7Z8X/9g72HPruVeSkrW0tUb7+sr97rZ4tbW1paWlhoaG + T588YVew/QMChLe6ubszGIzUGze+nDy51exkCrmvqWl0VNT6DRvpDDpCKCR0ttRtaLkpOSnJy8vL + 1c2NW8+9c/u2jOFCl2BjY3spOel1Tk7yxwmrzS3MV69dgy+n3rihoalpqtjfGwghLrcOIaSlrfXs + 6bNKNtvv88+Et+7YtUv2KlqeBmJ638vH+5dNmwUCAT6GMi019ev/m3P71i2pa1+waNE3X//fyRPH + Z8ya1Xbqrkz1Q0niYU8ajcbhcIiPHZdROm0+jtDy8Qh5ZWz22I34rVJXB2QkYX8hsR0tvli5V9eu + jG2iUChVVVXx8fHx8fH4mpU/rFz5w0pia7tKU2FlZWVqauSxAYG8pqYPHz64ubvHHj3S0ZVevXL1 + 9evXCKG62rrEc+fUKZTP/f3TUlMZDEazezskEqmfZb/i4mIxpW3bsSNk5swrScnDXIeN9vUbO34c + 8Rf42JGjV5KSiZSj/Xx9hg8X04ZmJTc1Nd1ISYmOOUSlUr28vC9dvNgdQsnP/ccghF7n5DRbX1VV + tfTbJRnp6dt27tDW1lZASzBMwOfxMYSVFBdv37qNyWQaGho+/PtvTS2t9o5SPXfmbNbDh/iyubkF + MQZUzGkgvvft7R3USKSnT54OdBxYWFDA5wv6Wf7nLaZizr1W0Wj68xYsiAjfM37CBHzqDFUFf3yV + Ao1GE36ovKCgQDhypdFowhdvhLfKJWNBQQGDTm+WsdWtUlcHZCR5fyGhIy++R+RyesgroyTKy8pF + bSopLTExbn0qom6ovr4+LTV17c8/zwoJzs3NHR8UdCU52dfPr0MrPXv6NIVCqeRUCviCKVOnzl+0 + UFdXt662ltqD2jKxhoZmbW2dmNKGDB1yJeX6H6dOJV1OWvfTT5F79hyKi3V0dEQIlZaW1NV9yutc + 4SK+Dc1KvpWZSSaTXVyGIIRG+Y7+ZdOmdRs2KPiqrfJoampyGeLS1NQYER4+fMQI8c9CyUXIzE/X + 53R0dKJjDiGEGhsbNTR6iM7UutzcXGJiFuG5osWcBuJ7n0QieXp5ZaSnDXQcmHrjRsswUcy5J0rw + 7JBfjx6NPhC1bPn37d3BLgRCybbhY3TaO1KnXYhpotu7VcEZpa4OyEjB/aX4jDKCOFKYujqlZ8+e + +EUaS0vLMWP879y+3dGh5P7oqGGuruwK9uzg4A8fPhgbGyOE9Ol0TiUHwzCS0FuXEEIVFRUMRhtj + G0xMTJYsXbpk6dLsl9nLvvsubMWKS0lJCKGVYWHEMEpJ2tBMclISSU1t7pw5CKGamhp2BftW5i1P + L0/p9rqr69mz59z58+fMnRvk73/it9/k+BC3KPMXLmTZsEgkEo2m7zTICb9WR6czqquq+Xx+u2L6 + Zcu/DwwKarlezGnQZu97+/j8furk/IUL01JTp/53YiAk9twThUqlLlu+fHVY2IyZM9qVsWvpeo/d + NDQ03Llz5969e42NjYqpEf9BAzPYKUxJSUlqauo70aPyAZBE6dvSa9euFRYWdnZDFM3A0JDOYBDR + m4GhAbuCrZiq6Qz6zvDdKSnXT504iRCyd3Dgcrkvnj/Ht+KXjqqqql5lZzsMHCiqkHt37363+Fvi + I8uG9fP6ddkvs7lciV6C0KwNwgQCwbUrVz08PFg2LJYNa7DzYCaTeenihfbupgpoaGgoLS3Fl9XU + 1FxchrzKfqWAeoe5ugYGBQUEBnp6eRL3fO362wkEgn9evBBOGXPw0OKFC6WuqOVpIEnve3p7ZT3M + qmSz//77ITFVkIzGjh9nyWTu3imHoZ9Kq4tdlczPz5/05SQajcbn8+u59WfOnDEx6airEbW1tW0n + AvK2bdu2QzGH7Ozsnj17tmL5im+++aazWwS6pOjo6O07tg8cOPDJkyezZ89eFbaqs1ukOPb2DkWF + hTU1NfhX9euc17Z2tgqrnclkTp02fcf27YFjg4yNjV3d3Pbv27d3/36E0NezQ01NTWn6NH19fTHf + 0zo6OhcvXFj83ZJ+/f4dqVZXV6ehoYFP+tveNgiPUct6+LCiomL9po3EyIpevXpHRkRs2LhJyr3t + spIuX961Y+eN9H8fWC4uLrZmWXdWYxgMhrOLy9G4I9t27sDXNDU1nY6PFz8SsU3NTgNJet/AwMDK + 2vrA/gP29vbC01HLgkQi/bAqLGTmLBW+INXFrkquWLkiODj46pWrKddTJk6cGLYqrOPq0tbWVsww + ZEC4d+/eiZMn7t65ez7xfEZGRnh4+KtXivihDFRMTk7O7t2709PTz545e//e/dOnT9++fbuzG6U4 + DgMdzMzN1v30U21t7fVr11Nv3AgIDFRkAxYuXtRQXx9z8CBCaM1PP6Wm3Fj67ZKnT57MnT8vOSkp + 5uChxd8tEfOYlF3//kOHDQuZMTPh7LmnT578ef78j2GrJkyciD9XeyszM/FcgvC/VidbEW4DITkp + abDzYOERur6f+VWy2ZmZN/GPN1JSiGJvpKTI5WgoJ08vL05lZdzhw01NTYkJCRnp6WPHjZNvFe06 + mN+vWJ6YkLBx/fqcV6+yHj6c+39zysrKQr8KlbENwqdBm72P8/Hx+e3YMZ8Rw1uWJuG515K7h4en + l5eoNzmrgC52VfLOnTtRB6Lw5RkzZvgMb9+oBaDkbt+5HRgQSKfTEULGRsYjR468c/cO/uIiACR3 + //59n+E+xkbGCCEajRYUGHT7zm1XV9fObpeCkEikiL1753z9f44D7NXV1VeGhSn4BRsGBgbBISGx + hw/PCgmxsbWJO3YsbMWK8UFjEUJGxkbDXF0j90QYGxsPHzFCVAm7wncv+27p90uXIoTU1NT8AwLC + Vv97XflQ9MFmiX39/LQoWmLaQDwafCU5efKUqcLJTExM7B0cLl246OjkhBDauX0HscnOzk5MC7s6 + BoOxMzx8+dKlmzdu0tDU3Lx1i13//vKtol0H09nFZc/eyPU/rzsSG4cQYlpZHY6LJV48IzXh00BM + 77u7/2dKoKgDB1odEynhudeqlWFhGenp7d+DLkIB06BLSJL3T3h5e6WkpODLl/665OvnK77A0tJS + UzPTvqZ97R3se/furUfTw9+N0ebbbnJycvAXabi5uRkYGkiYEcgoMTExMCgQX+bz+Z5enmlpaZ3b + JNAV3cy86ebmxuPx8I9BY4POnTsnnEBp/yPL5W03OB6P9yo7m81my6tAGV+4UpCfn5+Xh3fK2dNn + YmNi2szy/v37Fy9eyHEXui4ZD74ojY2Nr1+/bmhokLEceTWP18TLe5NXUlIil9KAwt5208WuSv60 + 9qc538wJnR0qwARxcXFxsXGiUuJzjoz2HY1hGJfLpdPp3HouQqiqqmpWsLjJQhsaGhBCo0aP4nK5 + VCq1rLwMf76noaHhm7kwbq9j+fv779u/b/r06d7e3peTLhsZGXl6dtMnK4Es3Fzd+vXrN/6L8f7+ + /ulp6Q0NDYGKvcOrDMhkspUyXdE3FZpG9IuJEyrZbPw6ZTOLlywZOWokvmxoaNjqHONAXtTV1S0t + LTu7FZ+QKWRzC3PiYyWbHTIruGUy4ZOkEyl58xSpi4WSo0aNOnfu3Llz50gk0p/n/7S1FTmWHP8D + NGrUqD3hezAMu3btGovFMjMzO3PmzLdLvhWVCyGEj+x2cnI6c/oMmUy+ffu2rq7ugAEDHj9+7B/Q + fLZbIF8UCuV84vnjx4//8/KfiRMnTpk8pdkcIgBIgkQiHTt2LD4+Pisry8/Pb9q0aTCBubLRp9MT + /jzf2a0ASk3JTxIlb54idb0/rwP6DxjQf0CbyTQ1NWk02pEjR44cOdJyq/iJkSkUSkpKCqNnKzPv + wxdSR+vRo0doqKxDrQEgk8lTpkyZMmVKZzcEAABUnHIFRliLmWxlUZBf0Hai1oh5qQYAAAAAACAo + 0WRA2traF7rlVLEAAEUqKyuD2wsAACAvShRKLlq0KPNmZme3AgCg4jIzMz08PNpOBwAAQAJKFEqG + zg49e+5st5pJGACgYG/fvv1xzY/Lli7r7IYAAICKUKK7PL179446EBUSEuL3mZ+bm9vU/04lCgAA + skhLS7t27drJUycXL17s09r8w8pAS1vLyqJfZ7eidX379lHatqk8JT/4St68bss/wN/Wzk4BFZEw + DFNANZIrLy8/eerktq3bOFUq+4ohAIDiMRiMeXPnjR8/nqXYV7+0i7Oj04NHWZ3ditZFhIcvXrKk + s1vRTSn5wVfy5nVb5xMTly9d9vJ1TkdXpHShJAAAdFvKHEpaWfTLyXvT2a3oppT84Ct587othYWS + SjRWEgAAAAAAdC0QSgIAAAAAAClBKAkAAAAAAKSkRE9wAwAAkF1xcfHzZ8+E1/Tq1cvRyUmOVTQ2 + Nh47cnTCpIkMxn9eMBsbEyMQYAghNTWStraOy9AhTCaT2Iph2PWr1zIy0jEMeXp5jvb1laIK3KOs + rAf374eEhqqpqRGFHz4Ugy+TKeSePXt6e3vr0+nCufg8/onjx2cGz5Jqp7s8Ubt/JTnZwsLCugMe + R3v65MnlS3+9f/++r2nfCZMm9e3bF33sKZ/hPsI1njtz1t7B3sraus1OBMoIAwAAoBwGD3SUvZDf + T55imlsI/1swb57sxTLNLYhlDofDNLd4/uxZszQsS6bLoEHuw1zdh7na2/W37mf5x++/45saGxvn + zfnG1so6ZOas4BkzWUzmDytWiqlOVBW4r0JmsyyZtzIziTW8Jh7T3GLIoMHuw1yHDnZmWTKd7B3u + 37snnGtfZKS3u0e79lpJCB98qbW6+/l5ebZW1qfj42UpudXm7d65k2luMT4oaMG8ecO9vO1t7e7c + vo197KkvJ0wUThz4+ZjT8fGSdCKQXGJCAsuSqYCK4AY3AAColImTJj1+9gz/dykpSVNLa843cxVW + e3hE5M3bt27evvXo6ZMZs2Zu/WVLU1MTQuhQdPSD+/cvXP4r7tjRI78e++3EibNnTl+7clWKKmpr + a29lZgaNG3vpwsVmm/ZHR9+8fevOg/t3HtwfMnTI4oWL6uvrEUIYhu2L3Bu+a7fsO9gVidn9TRs3 + CgQCudd4/dr1qP0Hog4dPHf+/N79+69cv+br5xe2ciX2cdKYvx88SLp8udW8ojoRKC0IJQEAQKWQ + KWQtbS383+6dO7/44ouBjgMV3ww1NbVZwSGVbHZJcQmfz489HPvdsmXE/e4hQ4cGjR2blSXNzEep + SQgMMgAABotJREFUKTdMzczGjhuXdPkyn89vNY2+vv72XbuqqqqSk5IQQhHh4QejooJDQqTdm65N + 1O7fzLhZUlwywH6A3Gs8sG/f1OnTiDEMFArlp/XrKsoriouK8DWeXp7bt27l81rvPlyzTgRKC0JJ + AABQTc+fPbuRkjJ/4cLOagCXW4cQ0tLWevb0WSWb7ff5Z8Jbd+zatWz591IUm5yU5OXl5ermxq3n + 3hH9rl0ajebq6nrvzl2EkI2N7aXkJC8fbymqUwGt7j6fz9+0Yf2Pa9eQSHKOBCorK7MePhw7bpzw + ShqNdvfvB31NTfGPCxYtqiivOHniuPiihDsRKC0IJQEAQDXFxcaO8fc3MjZSZKUYJuDz+DweryA/ + f/vWbUwm09DQ8O3bUk0trVYfoGmvpqamGykpo/18qVSql5f3pYvN73ELM+nT5+3btwihz/3H9OnT + R/bau6hWd//Eb8ctLPoNc3WVe3UlxcUYhvWztGy2Xl1dnVim0fTnLVgQEb6npqZGfGlEJwKlBaEk + AACoIG5d3eW/Lk+YNEnB9YbMnGVjZWVrZT3SZ/jfDx6s37QRIdTY2Kih0UMu5d/KzCSTyS4uQxBC + o3xHi7nHjRCiUqk8Hk8u9aoYDodzYN++lWFhHVF4bW0dQkhTUxP/GLV/v9/IUfi/xIQEIlnw7BAN + DY3oA1HiS4NOVH4wGRAAAKigmzdvkkhomOswBdc7f+FClg2LRCLRaPpOg5x0dHQQQnQ6o7qqms/n + k8lkGctPTkoiqanNnTMHIVRTU8OuYN/KvOXp5dlqYja7gg5TybRmz+7dYwL8jYyNGhoaEIbxmng8 + Ho9CkU9IwGDQEUIVFRXGxsYIof4DBjQ2NiKETp04WcWpIpJRqdRly5evDgubMXOGmNKgE5UfhJIA + AKCCbmZkuLt7CN9SVIxhrq4enh7NVtr1txMIBP+8eDHA3p5YGXPw0OPHjyL27pW8cIFAcO3KVQ8P + j76mffE1FeXlly5eEBVKPs56NOl//2vnHnQLGWnpubm5R+OO4B8fPXqUefPmnr2RcinczNxcV1f3 + 3t27+HBJbx8fbx8fhND1a9ebpRw7ftzhmJjdO3eJKQ06UflBKAkAACro8aPHAYEBnd2KfzEYDGcX + l6NxR7bt3IGvaWpqOh0f7zN8eLvKyXr4sKKiYv2mjTQaDV/Tq1fvyIiIDRs3tUyckZ6Rl5c32k/c + ROjd1vbdu+q5XHx57Y9rRvuO/mLCBHkVrq6u7h8YELV/v6+fH3Gbm8fj1dRUN0tJIpF+WBUWMnOW + rq5uq0VBJ3YJEEoCAIAKevUq28x8fodWcSMlJftlNr5M06cNHzFCTOLvVyyfNnmKHk1vytSpNTU1 + kXsiysrKQr8KbVcVt2/dGuw8mIgjEUK+n/ltWLcuM/Omu7sHQigjPa24qKixqTHnVc7JE8enTJ1q + 2eLhD4AQcnR0JJZ1dHQsmUwra2s5lr9s+fIg/4DJk76cv2CBqZlpzqucwzExpSWlNrY2zVK6e3h4 + enmlpaYSa6ATuxwIJQEAQNVwudy62jpTU7MOrWXn9h3Esp2dnfhQ0tnFZc/eyPU/rzsSG4cQYlpZ + HY6L7W3UxtPlzaqo49ZNnjJVOIGJiYm9g8OlCxfxUHJvRCRCiEKhGJuYfPX11wsXL27/bgE5YDAY + 8WfPrFm1etGCBRiGkUgkD0+PbYkJtra2LeeSXBkWlpGeTnyETuxySMTU8wAAADqXs6PTg0fSzNqt + AFYW/XLy3shYCJ/HLyoqovag4g9kAAnJ5eB3HDHN43A4796+69XLEF6lrXjnExOXL1328nVOR1cE + VyUBAAAoCJlCNrcwF15TyWaHzApumXLxkiUjR41UVLtAR6HRaMIDEoBKglASAABAp9Gn0xP+PN/Z + rQAASA+mKAcAAAAAAFKCUBIAAAAAAEgJQkkAAAAAACAlCCUBAAAAAICUIJQEAAAAAABSgie4AQBA + WbBYrJTrzd9TrCTGfzFeadum8sYp98FX8uZ1W6UlJfYDHRRQEUxRDgAAysLKol9nN0Eka2vrV69e + dXYruqnevXu/e/eus1shkjWL9So7u7NbAVpBJpMVMEU5hJIAAKAsuHV1nd0E0UgkBN8XnUXJD76S + N687I5E0NTU7vBIIJQEAAAAAgHTgsRsAAAAAACAlCCUBAAAAAICUIJQEAAAAAABSglASAAAAAABI + CUJJAAAAAAAgJQglAQAAAACAlCCUBAAAAAAAUvp/5lZuI61Mk8gAAAAASUVORK5CYII= + ) + ) + (image (at 170.18 124.46) (scale 0.713218) + (uuid cb3fb961-cde4-453c-a820-d6f892bfcb76) + (data + iVBORw0KGgoAAAANSUhEUgAAAuAAAAKhCAIAAACeuIyXAAAAA3NCSVQICAjb4U/gAAAgAElEQVR4 + nOzdeTzU6R8A8MeRawZdhtqyUbvl6l5S7W42OqToRLq3Ylv80oFK2kiH3WixhWx3pJOyJKQ2dtBt + hrbDKLpmdBi+X1SO3x/PNjs7V4MxBp/3a1+/18x3vsczdn/59Dyf5/NRampqQgAAAAAAikS5vQcA + AAAAACAIAhQAAAAAKBwIUAAAAACgcCBAAQAAAIDCgQAFAAAAAAoHAhQAAAAAKBwIUAAAAACgcCBA + AQAAAIDCgQAFAAAAAAoHAhQAAAAAKBwIUAAAAACgcCBAAQAAAIDCgQAFAAAAAAoHAhQAAAAAKBwI + UAAAoK2QJHnixImsrMvtPRAAOh7V9h4AAAB0TqmpaevX+718+RIh5OrqEhISrKur296DAqDDUGpq + amrvMQAAQKeSk5O7YcPG4uJ7/AepVOrOndtdXV3aa1QAdCwQoAAAgMwwGMyQkO0ZGZn47RBT0/mL + FxbQ81KSkvERV1eXqKiI9hsgAB0GBCgAACADXC43NPSXo0ePkSSJENLU1HJd5GZjO5FCpSKESktY + O7YGvap4hRDS1tbeuzfK3n5qO48YAMUGAQoAALQKl8uNiYmNitqLQxMNDc35ixfwQhN+B2L286ZS + PDzcQ0KC5T1WADoOCFAAAKDlcnJyfX3979+/jxBSU1e3GjvGbfFimj5N3Pn8UymGhv1v374pv7EC + 0KHALh4AAGgJgUzYIaamK1Z5GA00lnwVTZ/munDBgZhYkqzR1NRq+2EC0FFBgAIAAM1TVlYeERGZ + mJhYU1OLEOpvaOi2ZJGl9ZhPXsgsZByM3a+lRTGxsLiRl0+larf9YAHoqCBAAQAAaUnOhJWAWchI + SUqu4HCc3eZbWo/ZGbQNIaShoS6PQQPQMUGAAgAAn8blclNT04KCgjmcCoSQhqbm/EWiM2EFkASR + eDyhgE53cHLknf/2TSVCyNCwvxxGDkAHBQEKAAB8gnC6yWrfdRIyYTFeaGJjZ7s7KoI/lHlVwUEI + QWFZACSAAAUAAMTKycmNivqNv/CaNJmwCKECet6BmFg9mn7Qrp3iQhldXR1ZjhWAzgUCFAAAEEEg + 3aS/oaHTnFk2drafvJCXCeu3OUBcKPPm9RslJdS/PyzxACAWBCgAAPAfLc6ELS1hJR6Pr+BwbGwn + Ojg5ijuNJAglJYRgiQcAiSBAAQCAf+Xk5Lq7/4BbEKupq0+aOsXZzbVlmbBiTyZrZDliADopCFAA + AAChlhZeIwkiJfl8dkam2dChApmwEi7BL2CJBwAJIEABAHR1DAYzMjLqzJmz+O0Xg4csXLbYfKjF + Jy+UJt1EGF45QrDEA4BEEKAAALqu1hRew6EJLrzWrIdWsNn4BeziAUACCFAAAF0Rl8tNSDixffvO + f1oQS114jcPmpCQlS5luIhLMoAAgDQhQAABdjkALYvOhFit/XCV94TUHJ0cp000kMDAwaM3lAHR6 + EKAAALqQnJzc7dt35ufn47fSZ8IW0PNSks/r0WgSCq9JicOGMrIAfBoEKACALoHL5QYHh7SmBbGn + z2rpM2ElwEs80MoYAMkgQAEAdHKyakEsq/Hgbcb6+nqyuiEAnRIEKACATgu3IN62bTsuvKahqek4 + e6aD4wzZFl5rLtzKGJZ4AJAMAhQAQOfU4sJr4loQywq0MgZAGhCgAAA6GwaDGRKyvQUtiJmFjKiw + cD2afrMKrzVXU1MjgiIoAHwKBCgAgM5DIN2kR8+eM+fOltC3j6dlNWFbpvJtJYI69wB8CgQobeFd + WfbB0F8O3nmFen+7eU+ow4A2eMSbwmunLxxOPf/gVX0VUa9D1ej9xUTbWS6LJw3tqS77xwGg6Lhc + bkxMbFTU3ha0IE5JPl9UWOjg5ChNKNNKeI8xgiUeAD4FAhQZe1eWvW/Dutg/Oe8RQgi9vPWwSubP + eHEp0M0r/iF/Q1SCQM9fHi28djR64KLIQyGT+sj8oQAoMIEWxFZjx7gtXtyswmvLVi5vi3QTkQ/F + L3R0YIkHAEkgQJGdd2XZezdsivoYm7SZwhOh8Q9rENLqazlnwdKp48z7oafXL+zbeeRPzntUU3Lk + h42mOQfdIEQBXUKLM2GzM7NSkpLNhg5tfeG1ljE0NJT/QwHoQCBAkZXrv0xyiWa9R0hroIOP/7zq + 0EURD9vmSZ99OXK0wxz3DUttDD8u5gwYYDZ+xtdBNov3lyP0/trBE4VuPkPb5ukAKAgGg3n48JGD + Bw/ht18MHjLbeU6zCq/JId1EGK8RDwBAMghQZIXz/CmijVrkE7JhrhkVMcJD2+xJvaaFnpgmfFh9 + 3OKlo/YH3UQI3X9YihAEKKCzak3htcRj8Qgh2RZeaxZeK2NDQ0iSBUASCFBkZVpYiYioQa4MevZo + 5xEA0LZw4TU/vw3NbUHcpoXXmgVmUACQEgQoncjNIgZ+YWbyRfuOBIA2IJBuMvKr0W3XgvhGfgGF + qm1iZtLaQQuPhyQRtDIGQAoQoHQeBVcu4rnjft+MMW3nsQAgSzk5uVFRvzW38BpuQZx4PF6Ppi99 + JiwOaK5kZVkMG94WAQq0MgZAShCgdBaP9u/+vRwhhNQm/uD+VXuPBgDZaH0LYukzYXlzLZbWY5xm + z6qs5LZ29GKeghDq3r17W9wcgM4EApRO4d3tPZ47b75HCKl9HbTDuVd7jweAVmtxJmxpCSvxeHwF + h+PgOMPGzlbKx5EE8eNy976ffWY9fnzWpUtENbHMfUVrv4N4xsZGbXdzADoHCFA6gRfJPkuiit4j + hLpPD9sOFVBAx5eamrZ+vR8uvKauoeE0Z1bbtSBmFjKiI3+r5nIbm5qqq6oePXhoYmp2PT+fQqHI + 4JsIefHsOYIlHgCkAAFKR/ci3W/ehgtvEELdp+85G+4I4Qno0FpceC0l+Xx2RqaltbX0mbC8Ivej + LL+6WXCdw+b07NUzOHRHoN8GhFAb7fQhPlaSBQBIBgFKh/Yi+X8OG85y3iPUfeLOY+GzDaEND+iw + 5NyCeO+eiMI7dyZNnVJXW3cjv4CqrY3YnMaGhp1B2yo4Ff0/N9SSOINSWsI6FPe7lqamX2CAlE/E + anEyTf9+zboKgC4IApQO69394+7ztmTx5k4gOgEdlcgWxFIWXsOZsJ5rfMyHWkjzLFzk/vzZpIb6 + +s+NBlxKuzjYxKSCU6GkpKSlpfX61esvTUx0u+tWvn1L09cXdwe8kDTYpCV7fOrqahEs8QAgBQhQ + Oibi+h7XBVF3ahBS+3z+vmO7oDsg6JAEWhBraGjOXyxV4bXSElZ2ZlZ2Zpazm2uz0k1wQPOqooKm + T7tz63bY3ijm3bvXrlwlyRoTM9PeNNrtGzdqa2qqq0UvxBw9cCj3zz/x67+uXevTt29zvi60Mgag + GSBA6YBe/Llt6dJDRe8RUjNZcTA28BuITkBHlJOT6+vrf//+fdTSFsTRB+OkDE2KmUUJR44hhEZ+ + 9RXn5UuaPg3HCkR19R/JF/r07VNVVX3z+o0vhwzeHRWxcK4LQkjkSC5nZKiqdnv96hVCSENDs7q6 + GiHELGTERP22M+wXadJ48QtoZQzAJ0GAIivv/j7zy/6cV/+84977p9/Gi/QonzJthBBCWqZzV68Y + 2+otwE8OrcbRCUK9TT9/e+4Xn3MizjKattHbFrYbA8XU4kxYXHitWS2ISYKIDN9TQ5BKykrqamr0 + nNznT59SqFQKlfrh/bvEY/HDRo6oram5f+8eSRDmQy0K6HndunVTUxe9Ylpf31BdVa2srPztxO+G + jxx5/uy5yLA9RYWFlZVckqz5dIDysc49tDIG4JMgQJGVBxf3RSffFzpcVXT5dNHHN+9Grxjr2NoH + VVW+fv/Py1d3Lp6+I/qsnlrTvW0ntPZZAMhYWVl5RESkfFoQ8+ZaGhoaVFRUOGyO4+xZk6fZb/8p + iELRQggN/+brOzdvlj15Ul1VhWOLa1eu0vQNFi5beio+HiFUwWbr/TcThSQICpXaW6+315rVzEIG + SZBGxkbObvPdFy+TJmCqgUY8AEgNAhRZ+XJKQHi/VxJP6W0xRQYPsnDesa/fk7pPnNXbwloGzwJA + ZlrTgjglKbmCw5G+BTFvrqVnr97ffjfx6uUsfNzMwvxyxj+vSbIm58pVl4Vuf13L/bu4GCH0jc0E + fYM+Nwry8/+ie61dcyBm/5WsrK/GWHmt8cGX4FUhCkWLStVGCFWw2V8M/oJCoewK3mbQR3/hXBev + Naslj5C3xAOtjAH4JAhQZEV9yIQ5Q+TypD5fOcyBWvag48AtiIOCgjmcCtT2LYivXf3zxbNnGWnp + 8+a7Xvzjj/Nnz7579w5fe/TAIT192tz5LlezLpMEYWZhYWM7MfnMWYRQ9+66b9++/bu42MbOlkaj + xe2Lrq4mDfroGxn/O1uDwwuSrDEz0EcIPXr48O7tO29ev/H0WW000Li0hLUreBuzkCGhBC0Ozmg0 + PWm+CABdnFJTU1N7jwEA0GkJp5us9l3XrExY6UMTXOS+mFmkrU2tfFupR6MtX+WBq67R9GmDTUy0 + KJQb+fkkQaqoqpIE0c/Q8P27urFff305I6O/4eemFuYzZjr6r1mnpqampUUpZbFqa2p76/WOOXwA + IcQsZNy+cfPcqdMIIevx4xsa6tkvX9pPn243dTL/GA7E7M/OzBI3lZJ4PD7xWHzfvn0ZDDGLswCA + j2AGBQDQJlrcgriIwTwQE9uCFsQFdPqkqVMa6uvLy8pqa2ttp0yqq61FCPH27OjR9EdbWqanplGo + 1C+HDHn37t23E7+7mnXZ1NzCN2ADvtWz8qeq3VT79OmrpKQ06Msv1DXUeTf/aowVQqhbt26Mu3fm + zXf1WrNaOHJa5r7CxnaiuKkUPAxtbW1pvhQAXRzMoAAAZEwg3aS/oaHTnFnS9O3jZcIuc18hfU1Y + Dpuz1tNr/LffIoSuZGbq6OrW1NSSBDF85Ijnz54NHT6cnvsXSRB6NL2Ywwd3/BR8PT+fpk8zG2rx + mFUq8CwOm+OxZBmVSm1CKGjn9scs1sU/UrmVlQ5OjpbW1r/vi7l14/o3NjYuC+briSnjxhMZtucx + i7V05Qr+CnI7g7YV0PPGjRt3/ryozXcAAD4wgwIAkJnWtyC2sZ3o4CTtXjfcTMd63FgtLa1b16+b + DxtGMzAof1JG06dpa+toaGoN/OLL2zdvUihaJEGoqantDNpWXl5m0MegoaHhwb37s+bNefTwoZKS + Eu+GOMukCSFL6zFGA42LGIzeerS1G/w5bHagn78eTX/rzh2m5mbSjA1v84kKC7e0tuZNpVRxqxBk + yAIgHQhQAACykZOT6+7+A25BrKauPmnqFGc31zbKhC1mMGtr6x6zWC+ePc/OzKrgVFiPH+e1ZvXy + BYvwCX0+M2A9ejh0+PDXr17T9Gk0fRqHXWFjO7FHz570nJyfdmwnqqujwsJra+sGm5gMMDb6ZzAk + iYeEWxmTJKmhqb4reFuztjfzmA+1iD50IDJsz1pPb5xIiwMgKCMLgDQgQAEAtJY8WxAjhAroeb9H + x8ydP//m9Rs1NbWmFnhKQ2mtpzdVW/vdu/ckWXP7xq2QX35++fxZZvolhFDPXr0tra0vpV10cHJc + 9T/vyN1hCCFtbV3cuo+ngs1GCNH0aRQqpbSElftnThW3co6Ls/STOsK81qzOzsgM9N9oYzuR+CdA + gTKyAHwaBCgAgJZjMJiRkVFnzpzFb4eYms5fvFCavn0tKLzGs3/vPsMBA04nJAw2MeG8fKmioqKh + qfl3cdEXgwfXkGTZ4yc0fRpJEK9eVZw5eVqPptfQ0PCYxdLR1QnatZNC0XJfshyv6tD0aTU1NUmn + z3xjMwFP5HArKxFCHDbnfvG97IzMZk3qSGBjZ2tpPeZAbNzbN28QQlxuVStvCEBXAAEKAKAlWlN4 + DYcmAgmkUiIJQke3O1FdvTsq4tqVq69fv2mor7ccY1VXV/fi2fPysjK8Z0dVVfXSH2nffmeTefGi + 3dQpAwd9McpyNEKotIRFEoSyslJTU5OWkdEk+ymNjU0HYvYX0Ok2draaWpoIoW7d1JCSsvR7iKRB + oVLxVApCKDo6hsvlhoQEw1oPABLALh4AQPNwudyEhBPbt+9sbgtiDpuTkpTc3BbEwn4O2aGjq9u9 + R/fzZ5J0e+iamJllZ2R+PeHbyfZTA3z9afo0kqxZsvz7vNzcN2/eCNSfZRYyAv02aGhoGA8cuOGn + zYnHE+g5OQZ9+i5zX0GS5G/hvzY1NXqvWytlJmxzlZawInaHPSl9jBCiUqk7d253dXVpiwcB0AnA + DAoAoBnk2YJYnLq6ur+Li9++eYsQMh40CGeejrL86nJmFm7y19TYeCohwcHJkaavv/fXSJq+Pi8N + FmeZqKiqWowYRqFSSZKc7Tyvn6HhgZj9CKGlK5dLWU2/ZYwGGofvjcLl2giC8PT0zs39C6ZSABAJ + ApTmqayszM/La+9RtBaVQhn39dftPQrQweTk5G7fvjM/Px+/bVYL4pTk83o0mqwWTaqrSByddO/R + g2agx3nJQQgd2h9nPGjQyNGjuZVvhw4fPtrK8sK55JoaUlNTg3+emNdPmEajIYSqKitv37iVdPqM + rNJNpOHsNt9yzJgdW4NeVbxKSDhx4UIKTKUAIAwClOZZtWJFHp3e3qOQgZGjRp1OTm7vUYCOgcvl + BgeHJCYm1tTUIoT6Gxq6LVnUrBbEeJOtTAbzmFVawXmJ2yBfzsjUpmoXcRjTHKfrG/RJSUrSo+kv + WLo069KlyLA9NH3a2zdvqrhVz58+E3g6SRAqqqoHYvb/fe+e7eTJ3ut85BOa8BgNNI49ckhgKiUq + KkKeYwBAwUGA0kXdunnz7p07w4YPb++BAIUmzxbEUmpqaurb77PJ9lO1KJRSFutp+dMP7z8U3r6r + o/sYbwi6kV/w15/XNLW0SktYNH2auro6k8EYPmpEdmZWSlLy5wOMEELq6uqH9sd9OWTI7qhIGWbC + NheeSgkK2Myt5CYknHB3X2lhYd5egwFA0UCA0nXV1dW19xCA4hJuQew4e6aD44w2KrwmPZIkq7jc + m9dv3C8uNh5o3NSEKjgVNrbf8Z5VXVVVV1dXV1enraPr4OT48P4DLYpWoP9GvKX52MHDysrKPXr2 + 9Fyzuo0yYaXHLGQkHovv0bMXt5KLoIAbAP8FAUoLjbG27oh/mlzPz3/z9m17jwIoula2ILaxs21W + 4bVmefv2bX19va6u7qSpUy+lpfltDqBSKfydcfBkD4VKnTVvtoOT486gbcy7DGe3+Xo0WuLx+Bcv + ns+b7zrPzbUtxiY9/jBOVVU19rd9CErgA/BfEKC0kN+mTR1xfcR1zpxOkOQL2g6DwQwJ2d7cFsQI + Idx3Ro+m34LCa83y8O/7vXvr6dFo5kMthpiZJh6Pv3XjxsH4Y7x4iJcG26dvX4QQSZBzXZ0L79zF + 0YDIFsTyxB+a4DAuJQmywQAQAQIU2aiuqjp98iSuYy0ldXX12XPn9urdu7nPevv27enExNra2k+e + OdHOzswclrSBVATSTfr26zdl2lRpSry3piZsCzg4OWZnZloMG3o5I7OATtfTN6j/UM8fc3DYHPxC + i0IhCaK0pCQmaq/1+HFtN6kjJbyhKfF4vB5NH29oIgmigs3GP3ADA4N2HBsACggCFNmYPnVq2ZMn + zb0q+rffbjIY/M1UpTF14kQOhyPNmXt27z6akAA7ioFkrWlBnJJ8vqiw0MHJsTXdapqFpk8b9MWX + Wzdt7tmrJ0Lo0YMHCKG/rv019uuxJEEUMZhvXr9GCJEE8fbt2yjPcJq+/vJVHoqQbsIfxpEEkZ2R + eTL+hGo31S+HDEGQgAKAEAhQZCD32rUWRCcIocrKytSUlGnTp0t/SUZ6upTRCZZ87hwEKEACgRbE + 4775etnK5c3KhJXmfNl68fxZDUnW1tS+f/+Opk9To+nV17/nRQCq3VQRQurqainnznuu8WlBNX3Z + Ki1hJR6Pr+BwHBxn2NjZIoSYhYzI3WFKSkrfTbJjv2TjQnPdu3dv33ECoGggQJGB1rQLaO610JoA + yEqLWxDj/bpmQ4fKtluN9EiS/PDhg4qKivXX41d5ewb6b8y4eKmGJBwcZwwwNg7atFlZRWWuq8vk + afbtvqYjsKGpgs3eGhCorq4+dMRwTU0tLS0tCoWCAxTjj7VuAQAYBCgyZvj5506zZkk+52Jq6oP7 + 9/HrV69elZWVSX//ileveK8HDhokcvblXnFxRno6fg0BDRDGYDAPHz5y8OAh/BYXPWtW4TX5pJtg + HDYH/47nP4IQ0tDUnOM8j0KlkgQxZdq0YSNHpCQlJx6PnzTNfsZMRwUJTSytrflzX65duUoSZOXb + Sn2DPp8PGECSJIVKeXHrOYIlHgCEQIAiY4aff7567VrJ57BKSngBSlBgYFBgYMueNXDQIJHPOnPq + FC9AAYBfawqvJR6LR7i2WFt2q+GHf81nZ2ZRKFr8AQqeciAJAg+bIMjqKm6gn387Turwk7ChSU1d + /esJ3/b//POYyKi3b1737dfP3MK8Wcn1AHQdEKAA0CUItiDW1Jy/SKoWxG1deE3cQ3EHH5IgNDTU + RU4E4pEwCxl1tbW3b96W56SOOP+W9v+Y+3LhXLKGpqbdlEn4hEcPHr588SI7M2vJiu/vFRVfzbps + ZGxcixsI9O/XnkMHQPFAgNIOho8YkXL+vAzuM3Jk628CugL+dBM1dXXzoRYrf1zVrBbEct6ji9Nc + 9Gj6HDYHz5dUsNl6+vq4gj775Uu8snMgJraCw/Fet+YbmwlyG5tIpSWs7Mys7MwsZzdXHMbdyC84 + fvjIu7p3ZhYWvACltqZW38Bgjb9fSlJyycOH9jMcrKytDsTEIljiAUAIBCjtYK6Ly4sXLzIuXmzN + TSba2c1zdpbVkEBn1ZoWxInH4wcYG7fLokkpq5TD5tTVvaNQtMwszIsYTDabcyHpPI4ASktK3r97 + p66uZj7UQm6TOuLwh3HRB+MoVGppCSslNo5+LeerMVa63bvz//SUlJX6GxoG+vnzFoB4VVsgQAFA + AAQo7UBbW3tTYOCmlqaefFJzC6uATqn1LYjbcdEEz5pUcbnrNu4wH2oxa6pDVFg4nshJSUqu4nLH + jBu78scf2j00Ed7QdCoh8XTCCfsZ078aYzV85Agmg0mhUHiXPHn8+HXFa/4fLPkxAUVHR0f+XwEA + RQYBSicHu3i6oNYUXsMVO+SfCUuhUpzd5vMd/KefjtHHzbd+mwMqOBw89/BrTLQiZMKKDOOqq6rM + hponnzlr0Mdg3Ddf8zJ5sX0H4gTuwyvMb2hoKIdhA9CBQIACQOfB5XJzc/9av94PF15TnBbE4hTQ + 83AGhh5N39nt3+MVHDZNn8ZhcyhUKv7fqPA97Tupw4PzYMSFcSRJWo4Zo2/QJzM9/fjhI2rd1LX4 + ZlCE1XwMUAAAAiBAAaCTaHHhNTm0IBZWWsKKCt+DENKj6d8rLlJWVuEfEkKIJGto+jQ8qUPTp9nY + TpRbNX1xhMM4ZiFj756ImXPn2E2djM8pefjw1vUbs53n/ha3//ihw7l//knj67TMU1rCupR28a9r + OUuWL8NHoJUxAAIgQAGgw1P8FsTCEo/Hl5awaPo0LS2KhobmyxcvEF8EwJs72RW8Tc6TOiIJb2gi + CSJo0+bysvKevXo2NNTzzuzWTW2Z+8oePXvsCt6mpUX5OeJXgdUokiAO7v89LyfXbuqUnr164iUe + Gk1P3l8JAIUHAQoAHZhAukmPnj1nzp3drBbE7dWtBmeZvH1TqUfT917rExm250DMfhwB+G0OWOvp + jRBycHJ0dnNt99CkiME8EBPLa0H8pLT02KEjt2/c0NDUXLhsyV/Xcnr26sU7/82rVylJ59XUuolc + ANq7J6Lwzp2+/fr3MzQcZfnVowcP8b84VdVucv1WAHQEEKB0Qvy7eCBJtrPicrkxMbFRUXtbkAmb + nZkl/3QTARUcNoVKbWxoDA7dgbfactjsoF07OWx2VPgeMwuLZe4rFCHdRDgT9rc9kY8ePFi8/Ps/ + s7P7GxpWcDj8WSZ6+jTLMZYi2wAVM5jXrlzprac3xGQIm82uYLNpBvr4u2tra8vtSwHQUUCAAkDH + k5OTu3bt+kePHiGE1NTVrcaOcVu8WJELr4mjrUPFA6Pp0xycHPGyyNKVKxSwBTFPz149l65ccTkj + 41n507q6dyRB8GeZ7AzfLe6ejU1Ng74cPO6b8bG/7fusXz8jYyMKhcJhsxFCvXv3brvvAkAHBQEK + AB1Jx21BLABnmeAgqYLDKS1h7QoO4ZVhbceBiWxBrMcXgrBfvuzeo0dtTc3nRgM2rVuvrSN28qOC + zX716o2JmQnvrZqaWtLpM0NMTbV1dE6fSBw+clR9fT2CDFkARIEABYCOoaysPCIisqO0IJYAl6nl + vcWpJ8vcVyhCaJKSfD47I5PXgpgkiAMx+zPS0tZt3DjKcjQ+jf3ypZqaht/mAJIkoyN/+/D+vXDA + h6OcnKtXKRRq5P5ofLDsSVnZkycbfwokSTIlKVlNTf2LIYMv/ZGKoIwsAKJAgAKAomtlC+KaGlKe + hdck4EUAejR9/JaDkB6NrQiTOgJhHA5N0v9Ic5g5w6Bv3569evLOVFFRXbx8KV4Amj1vjsACEE6q + 3ftrRLduao6zZ5c9ecL7qFfvXqOtLHkJQN+7r8in57FfshFCurpQRhYAQRCgyNuF5OTamhqRH6mo + qMyYObNbNxH5/Bnp6W/fvBF3z0lTp3bv3l1mQwQKg8vlpqamBQUFczgVSOFbEH9SZNgekiBxTdgi + BkPRMmF5uS9nTp7+Iynps379tXWok+3tc6/+yf8DJAki4pfdIn+wFWz2Gs/VfT/7zMbWtqGhQUVF + mb/OPeNO4f2/702d7rA7KqKUVbp9a/CT0scIIXV1tf79YYkHAEEQoMjVpAkTWCUlEk4I2rKFfuOG + QOnJhS4u9NxcCVcF+PtfvHzZeOBA/BZ28XQOwukmq33XdcRMWJ4iBoMfHf0AACAASURBVDP6YNym + 9X719Q3+gQHtPqnDYXNSkpL5WxDj4+kpKU2NTUYDjYsYDJo+jSRrKBQt3lXTHKe7LHAT+YNlFjJ6 + 9+718P7fPXp1NzI2Jv9bJdZ7nU9FxSuiujpkS9DfxcX4oJWV1a5dOywszNvsWwLQUUGAIj85165J + jk4QQiRBJJ8757pgAe9IycOHkqMThFBjY+O5M2fW+vrKYJRAAbSs8JpwxY62H2nz4MY0T0qfKCmh + UharHQMU4RbE/J8qKytv2b5t/959SkpKBfQ8gX4633u4i70tSVoMH2bvOGP/b3tZDx99MXjI6I+Z + K1j6H6lXsi6/q6tDCA0ePDggYKO9/VRZfzkAOgkIUOTnw/v3Up324QP/2/f/fStOvXSngQ4hKysb + Ryf9DQ2d5swSyHIQSdEyYYVx2BwcMykpoaOnTkSG7Vnr6e3ps1rOo8UpuinJ5wcYG+MwjiSIvXsi + Vq325p1TVVX96y+7qVRtHz/f6IhIdQ11KW/OYXMK6PTSEta6jf73ioqTz5zt+9ln6GM8lHnxUl1d + LUKISqVu2ODn6uoCubEASAABCgCKpaysPDg4GCHUo2cPd89Vpp+a/JdQsUOh4HkIHKZQqFT/wICU + pOS1nt7OC+bz9zFuU//Wz/VZjTNhE44ev5qVRVQTc1xdeHNOdbW1rgsX6NFo2ZlZH+obJnw3Ucr7 + v371arCJiduSxSlJyQV0+oIliyZPs0cIHT14+FJqGkJIS0vTy8vT3X0lhCYAfBIEKAAoFi6Xi1+M + GTc2wNdfwu9vxcyEFYckSS0tCv9yCR42nkrx2xzQpmtSwi2IcbBS9vhJ6K/haz29eU/nsDl6ND1m + IUPcApAE79+9U1FRCfTzNxs6FCcA3cgvOBCzH3caolK1U1KSId0EAClBgAKAYuEFKI6zZ89ftFDk + 72/hih3tNNhmwJXdcZjCO8ibSvFYsmyZ+4q26FcsMoz7YdnypsbG2c7OZxIThdeYKt++JUmyBXk8 + jU2NT8vK8SpbBZsdvHnLw/sPcKL64MGDvbx+hOgEAOlBgNIJwS6eToP/9zdvKkXx000kwGGKwEEc + OuwMCsnOzJLhVAovNLGxsxUI49gvXo76anRKcnJDQyMvOQaj6dOi9sfwl46V3ubgIPzc0G07Cuh/ + NTY2Icg4AaClIEABQLGUl5fjF7zfmrylEK+VHqqqqlSqtiJ0q2kukiQpFAr+X+FPKVRqcOgOGU6l + FNDzxG1owstMjnNmh4f+/Pb1m/jDRwSmoFoWnWAXziUfO3jww4d6hJCmpsbixYt9fddBaAJACyi3 + 9wAAAP+Bl3gEZr7wVEq3bt0MDPr4B27qcNEJwgEKVURowg/Xbsn/K2+tpzdu89sCzELGWk/vC+eS + /TYHBIfuoFC0Cuh53u6r+EZS09BQHxUWPnPO7JhDvz+8/4CorhZ3qxULF184lyzNczMuXlri4nYw + dj+OTqysrNLSUkNCgiE6AaBlYAYFAEXUW09Ee1ujgQPNLcw7RMaJMA6bY25hXsoqlbyCYzTQuMVT + KaUlrJTk849ZLBvbifjCG/kFv0fH6uh2ryEJ3mkkQejq6m7duSMlKXmz3wY8QSVwK2YhI25fdFNj + U9/P+lE/FVcVM4uiI397WlaG31pZWW3c6D9+/DjpRw4AEAYBivyM/+Yb3H5M8mlff/st/1sTU9OB + gwaVPHok+apvbWxaOz6gGMrLnyKEtLS0hD8SqBjWseDBi1viEeDg5GhmYREVvkfKrBT+TNhlK5dT + qNRiZtH5s+eelj9tbGxYu8E30M//35NJUl1dI9DPX+QC0JPS0ot/pN2+cUNDU3O2y7y/ruVI+JlX + sNn7IqLu3r6Dk70g3QQAGYIARX66det2+PjxU4mJ4mIUFVXVmXPmGBkLpj1G7Nt3OjGxgiN2xnva + jBljxo6V5VhB+8FLPDqifsNVcDhaUvx2b4HSEhYuTyL8EUkQvOIlrXkESZBaFIr0MZbRQOPdURGf + nErhbWji7ezFB7duDOjVW2+W89yrWZcFHvqu7l1DY4O4FOP4I8ev5+VNnmb/tKy8Z69eeNginxt/ + 5Gh6alpjQyNCiEKhbNzoD6EJADIEAYpcDR85cvjIkc29avCQIZu2bJH+fNjF06G9ePESISQyXYMk + CFor8jdFIgkiMmxPEYNJEoRwfxxmISMqLBwXWNsdFdGa/TUVHDZNX1/c73txJE+liNvQxGFzevTs + 8e3ECXv3/GrQp4/A3uZRlqNH/bcCPT8lJbTad13+X/S/i4ve1b3DwxY458K55MTjx2vIGoSQpqaG + vb19QMAmQ0No+AeALEGAAoBiqa4mEELyWcohCSLQf6Ol9RivNasL6HmXMzL5AxS8EWaZ+0pL6zGR + YXuKCgtpra5UK/L3vWT8Uylea1bjarnMQkbisXiEEK/wmsA0iaaWFkmQ3Xt0//DhfcQvu03MzcTd + P+fqtfHffs17W8Wtysulsx49HPmVZXjoz40N9fwn38gviIna+/rVK/wWWv0B0HYgQAFAsTx79hQh + JDJRQ6BiR+sdiI0zs7DA5VVIkuQPHfDMit/mf3YMtT79pZWD502lpKdeNBpofPvGDV7hNWYhIzry + txqSPBB/FJ/8tPzp86fPJ06y2xkelp2ZmXIuubamRvieuEvA3Vu3amtq7KZOxgfLHj/u0bNn0K6d + HDa7tOSRkpIyHnYFm70zePtjFotXeA1a/QHQpiBAkbdHDx+K+8jw88/V1NSae1VvPb3u3bv/5xAs + 63RkjY0NSNQSj8yjk9ISVgE9L/pgHH6b/1fe9Jn/5nlkZ2aZWZjj6ITD5jxmscxaMU/Ai29a8y3w + VEqg/8aShw9xugmHzYndG52Xk2s7ZVJpSSnvzPoP78dP+GaAsfGu4G1aWpSgXTsE0k1wUm36H2lO + c2dXcav6fNaX9xFVmzpm/Fh84YYtgbgmbNy+2JvXC6DwGgDyBAGK/BTk5W1Yv/7J48fiTtCiUFZ5 + eXn8+CP/wcelpV4eHveKi8VdpaSktGDx4i3BwTIcKmhHHE4FQohGE/wtLvMtPEUMBq/0e0pSck0N + yR+CMAsZ331c0DkQE2tjZ9uap5NkDYWixWFzWv8V9Gg0vNc6ePOWZ+XlQ4cPHzp8mPX48WWPy3jn + vHz58mbBdc5LtsiKdlHhv97Izx9ladm9h+7ESXZXs7L4p444bM7xg4ccnBwdnBxJgjh64FBKUhIu + bUKhUDw9V0GrPwDkAwIU+TmVmCghOkEI1ZDk6cREgQDlVGKihOgEIdTU1HQ6MdE/IEBdXdqm8EDx + Cf8iF8j0bJbSElYRg0GhUPjbHZMkiV8U0PNSkpL9NgfwP5QkSJIgSII4EBtXweF4rVndskd/vNs/ + 0RWFImL7dAtudSO/4OH9B40NDdo6Og2NjQIV9IePHKWirDLNcbrIeOjRg0dqamqPWSw8IyLgh/95 + jx0/lkKlZly8dDguDmfCIoSsrKyio/dCJiwAcgMBivzgjqYiNDWhj/tunn4sc84jYXcxT21tLZfL + 5f2dG3bxdFxlZYL/AbReSlJySlKypbV1AZ1OoVJ5abAOjjMWznUpYjBEtvVxXjA/0G8DTZ9mNnRo + 0M7trd1jLNTKuJUaGxtNzc1mzHQKDtyiR9M3Mjbiz9oxMTMxMTMRd21dLbnxpy2/R8eUlrAK6HSB + VSe7KZOKmUVRYXt4/4eFTFgA2gUEKAAoEF4rYz2hJR6RbfY+KTsjMyUpGZcjo1AppSwWL0ChUKln + 01JKS1giy4GYD7U4m5Yiq5BCZCvjFt6Kw9Gj0e7fu/f82fOI3WFOc2azHrGO/H5w4uRJIs/nsDnH + Dx328VvPO/Lu3buQLVsN+vTZtPWnuH3R3br9+8dgBZu9e2corwWxgYGBl9ePHh7u0g+vqqoKIaSj + o9PCrwcA+AgClM6ObzYFKD5egCJyiUeaGqz/uYQgDsTG+W3ehGcISktYVv8tc4IQ4kUnj1mlWhSK + QAarrCY88OBbFmMJ3oogKFTq27dv6z988NscQJJkAT2vn6HhtzYThM/8bU8k69HD2poa/mkSbiV3 + d1QESZKJx+J76+nNmjeHd3JBHh0XXpOcCVtVVVVcVHSvqOhecfG94mI88YlDEx4dHR0ra2tTM7PP + PvvMxMzM1EzsPmcAgEgQoHRCsKjTcZULrfHxSNNsT4DwTpxl7isFzmEWMk7Fn3j04EFjY+O7d+8Q + Qs4L5uONxzLXghhL1E1qKBQtZWVlE3PTxOPxFRwOrxTKv+cQRAE9L/F4/JvXb0Ij9uzcGsT7iMPm + 6NH0sjOzcF18nCYs0ILYyclJuMlfVVXVmZMnMy5delZe/vTp00+Os6qqKiM9PSM9nXdk6fLlS5Yt + 69cfslgAkAoEKAAoIuG9uLjZXrNuUsoqtfpYxAzvxOG/LbOQcfTAwYf3H8yaN8fZzdXUwhwhVFrC + OhCzv7Rkm39gQKu/xH8GL6s90ngG5X7xPVZJyRwXZ95GJH4/Lnfv1q3bxp8C13p6DzAawP90CkWr + 8i2Xpk/DG5WvXf3z930xVR8nroTTTXBccvb06afl5QJzJM11MC7uYFyc3eTJdpMmzZ43rzW3AqAr + gAAFAAWCl3h69RbRyrgF6SAUCqWUVapXyEhJSqZQqQ6OM3i34rXWe3j/wSR7e97vb9xM2GPJsgJ6 + nqXQelCL4bmTO7dvDx8xojX34YUagSHBFWy2npiitA0NDdbjxwWs99fV1RWIjShUauL5swihYmbR + 79GxpSUl+PioUaNXr/biL7xWXFTkt3Zt6+MSAXhaJSI8POCnn+wmT5bhnQHoZCBA6YT4d/FA0baO + Bf8uFNnKuAWc3Vwjw/YcjN1vYzsRzzTwhya7oyI4bI7RQGPhuQ1nt/kCZe9bCbf0e/3q1aP7D/QN + DExbuiOGP0oTF53g08Z983Xh7TuvX1X8vi9G878/T9yCuPDOHcmF10zNzC5cvFhVVZVPp1dxuc+e + Pcuj0/Pp9JaNXMDTp089li9funx5QHPabAHQpUCAAoACwduMxbUyFt7aIxmFSuWt1JAEkZ2RmXg8 + Xo+mjzf1IISKMrNE3tPSesyB2Lhmj17iSMyHWtjYTsxMzwjw9bexm+i1xqcF9xHeB4RDrsyLl+LP + ncJHOGyOmlq3xGPxP/zPi0Kh7AoOqflY574FLYh1dHR48xzePj4IoYz09HvFxTIJVg7GxeXT6fv2 + 74fEFACEQYAiP8NGjKDn5or4gG/CY9RXXwl8OHTYsLOnTkm+8wAjI+HCo6AjktzKuMV7am7kFxyI + 3d+rV2+Beif85WL54bmWlj1LJF6dt6HDhzU1oeyMrFsFN9Zv2tDcqRSBfUDMQsau4G0DjIzr6mp5 + B0mC6NW7t9dan5SkZF4mLPpvC2KEkJ2dbWjorhYUXrObPNlu8mRvH5+n5eUZ6emHfv9dmpxZcYqL + ir4dOzZ0927ISgFAAAQo8jNn3ry3b978eeWKuBNMTE3nODsLHJw9b96Tx48vpqaKu2qAkdEc+KOt + s6isrERiNvfi3SvNvWEFm30h6Xx2Ztb0mY7z5rvyf1Rawrp942YrS8Q2F0mS+ga0mppaJWXlAF// + Fu8YupFfkHD0mKqqmrq6utdan0A/f/5H1Dc0Bvr5W1pb40zYNmpB3K9//6XLly9dvjyPTj976tSZ + T/1FQgLftWsRQhCjAMAPAhT5GWBkFLJrV3Ov0tTU3LRlyyZYqO4a8DZjkXtxJc+gkASBE0oEDu6N + iGK/YO+OihBONCnIy5vlPFfkPWXemPA/oyJrnN1c8axGZNietZ7efpsDpHwcb6Ny6LYdut11ZjvP + y7iYLvCToVKp2trUH1f/D/f5C/Tf1NYtiMdYW4+xtl7y/feHfv+9xWGK79q1Orq6kDYLAI9yew8A + APCP1NS0169fI4SE+9tJiBhIgjgQs3+tp3eg/8bIsD284xVsdoCvf39Dw58jwoSvfcwqzbyYbmMr + Yn0HIfSYxRpgLKK8bOuZD7WIPhjn4ORIoVJxioyN7USPJctSkpKluRwXg+GwOT179Zi/aGHsb/sq + 37wVSEwZYGz0S+SvNH3ajp+Cf1i2vLSkpKmpiUqlhoQEp6WlyDw64TE1MwsNC7tw8WKLgwyP5cuL + i4pkOyoAOi4IUDoh6MXTQe3YsRMhpK6ubia0+iBh+uRAbFxpCWt3VET0wbgCeh7v/N07f6bR9Je5 + rxB54blTp03MzMQFPfn0PJH172VCYDwOTo5HT53IvHjJffFSDvsTnac4bA6NRiMJQkVFJZ+e97nR + gAHGxjt+ClLlK1dPEsSphMRl8xdcz89vbGzS0tL081tfWHjbw8NdDl2ITc3MouPiWrw3x23ePIhR + AMBgiUeu4o8ePZ2YyCtnLkBVVXX2vHkrf/hB4HjSmTOnEhPF9hpEyHHmTO81a2Q5UCB3qalpxcX3 + EEImZqbStzLOzsh8zGLhZn6lJSxekkpk2J4vhwx2dnMVvgRfdf/evd1REeIGU1RYaNOiXTYtQ6FS + badMOnboiMeSZcvcVzg4OYo7EwdqT8ufvqp4PXW6wzL3lSlJySWPHhoPGohPyLh46eiBg0R1NX7b + Xn3+li5fbmVt7bd2bXOjjaqqKrd5867S6dDNBwAIUOSHw2YHbtwo+ZzQ7dtHjR4tsJcnJCjo7Zs3 + Eq6KCA//4ssvpzo4yGCUoJ1ERe1FCGnr6Aw2FdGGt4LNFrm1JyX5/NKV/8yRFOTl4XWZlKTkCg7H + a81qcfklicfjPdf4iJuSYRYy8K7g1nwdyfbv3VdUyNy4dQtvCockyffv3k2yn3o5Iyv5zNmQX34W + ObtDEqQWhUISxJhx1hQKJdDP32zo0NBf99D0aYrWghiXUfFds6a5WSlVVVUey5fHnzzZRgMDoKOA + AEXG7hUXu3//vcBBm4kTnV1di5hMae7AKCzkD1DuFRdLjk6wwrt3IUDpuBgMZn5+PkKoX/9+4naM + C8cTHDaHJAheq53sjEy/zQEcNiclKVlc/EESROTucBs7WwnxR0pSsgxLtAljFjJuFlyfNHXKWk/v + 8d9+4+65Co8fIfTw/v0akjDo00fcVEoFh03T12fcvXv75q03r9/gXdMVbLa/z1qBFsSSq5vITWhY + GEKouTFKPp2ekZ4OCbOgi4MARcZev3rF3x4My0hPV1FW7q2n1y5DAoovJiYWIaShqamlpSVmj7GI + NnsUihZJ1iCEmIWMqLBwBydHo4HG6zz/Jy7+IAli03o/EzMzCTt7mYWMIgYT7z3G/fb0aDRnt/my + SkkhCSLxWDxu7/ehvj4lKbnvZ59Nn+lIEoTRQGNL6zEcNqeosNBlgVt2ZlZ2ZpbIDT7W48d/OXjI + KMvRON66mp0tfeE1+WtZjOK7Zs1tMctDVVVVEeHhtpMmjbG2lsH4AFBUkCQrA5qamp8856r48icA + XLt2DSH07Xc2Kqqi/84gspUxhUodYGS0cK5LVFi4s9t8ByfHAnpeZeVbXs+d/9yBICLD9nw+YMCC + JYskjCTxWLyD0wwKlZp4PD7xeLynz2qjgcaJx+Nb+s0EpSSfp1Apevr6gf4bmXcZQTt3TJ/5zzSJ + lhYlOyOTQqHsjoqY5+a6OyoCb/DJzsjkXY53Mw0wGjDKcvSFc8krFi7NzsxqbGjU1NSYPXtWTs6f + 8smEba7QsLDmTofgKET44MG4uG+trc+cPGlqZia7AQKgiGAGRQZGffXV0GHDCu/ebe+B/AN28XQs + DAbz6dNnCKERo0biGQvhc8S1Mg4O3cFhcyiUf+ZdLpxLtps6RXgOprSEtTM4xMp6jLObq4RiKilJ + yTU1pIPjjJSk5AJ6Hs69JUmSeZfRqm/IN4yUpPMIIZIgl65cwT/NQxIkQkig0K2Dk6OZhUVU+J6U + 5PN+mwN4X/NGfkFU+K8SWhAroNCwsOmTJzer5uzBuLhZc+bwquDn0ekhW7firFu7yZMhixZ0ehCg + yEbSH3/8lZNDkiT/wZs3bsTu29deQwIdRVpaGkJIQ1PTzMJc3HZiCduMeSsgpSWshw8e+AduErgw + OvK3h/fvT3dylLA7BiHES15BCPFnsRQxGObDZJMwi2dicJU2ga8THLpD5CVGA413R0WkJCV7LFm2 + ePn36upqaz3/J6EFscLS0dHZFxc3fcoU6S+pqqratnVrdFxcHp0eER7O3/pn9ty5bTBGABQLBCgy + M3b8eIEjHz58aJeRgI7lwoUUhJCpuRmFShVXzx7vXpF8n4K8vEn/nT5hFjIOxu7nsDm63btbSsxX + 4E+e5d/Fw8u9bckXE+LsNp+mT2tBRyEHJ0fjgQN3Bm8jqok3r98g8S2IFZmpmVnAli3btm6V/pKM + 9HTfNWsy0tNxm2uMv38hAJ0YBCgAtCcGg4nLn0yaOgWJnynBu1ck3yo7I3OZ+0r8mlnISDyGpyvm + W1qPwTMQ4kqM4PQUo4HGOHm2gs3Gy0wkQRyIibWxs5VVhmzL7kMSRAE97+jBQ0Q1gRQ1E1ZKS5cv + b25zQeHsWmjZA7oICFAAaE/Mj5vPBxgbt6YDDofNIckavEiUeDyB18UXhzsOTo6W1taRu8OF98Xg + 6IQkSOc1/1R1s7QecyA27kDM/gI63dLaumXN/GSFWcj4PTrmSelj/NbKyio6em8LWhArDm8fH9wa + sMVsJ02S1WAAUGQQoMiPlEltPXr0aMFVPXv1asmYQHvDZYV1u3en6dNKS1jilj8+Gbtw2Oz+hoa8 + 0AR38eU/gaZPCw7dITCVgqMTCpXKX9WNQqUG7dxexGAIpKzKGbOQkXT6zK3rN/DbDpEJK43Z8+ZF + hIc3axKFn6mZGewuBl0EBCjyM+qrr76ZMOFPifuNR44e7ThrFv+Rz/r1m+rgkJaSIuEqEzOzOXyz + vrCLpwNhMovQxzBUXD17kiA+eZ9iJvPv4uKSR49+2h5iYiaiFi3G2xeTnZnlNGfO8UOHLK2thbf2 + GA00bsfQhCSIY4eOXL2cXVdbixAaPHgwLrzWXuORoaqqqioud/a8eb+GhbXsDpB9AroOCFDk6sDR + o6ySEvzHrjBlFZUhJiJ+tUTu21e+cWO1mA4+CCFT8w7/18ou68WLlwihQYO/RAhVsNk0AxGJJiRZ + 88mlHy0tLRs7WyNjo03r1jsvmC9hXcZooLGnz+pff9m9d8+vPn7r27RobHPh9anMi5fq6mpRx8yE + 5amqqvJds6aqqqq6qqqKy8VZrvy5ri0za84cWYwOgA4AAhR5Mx44sAVX9e/fH/XvwOvuQJy///4b + ISRcJZafhD3GPDR9fWYhA+edRIbtWevpLbIGq8gMFQVRQM+LidqLGztoamosXrzY13ddRwxNMB0d + HW8fnx+WL2/xao4wK2vrfvDnAOgyoJIsAO3p5cuXCCEjYyMkpp49Er/0w8/MwryIwUQIUahU/8AA + XIM1JSn535sQROLx+LWe3hQqZXdUBM5BYRYyDsTsLy1hyfAbtQCzkOGzynNn0DYcnVhZWaWlpYaE + BHfc6AQzNTO7INOWOpB9AroUmEGRt9s3b9bU1Ij8SFVV1UrUH0CpFy6cSkzktWkVNsPJ6QcvL5kN + EcjL+/f/VMrBMxkcNkdky2JxSz/8KFRqQ0P9jfyC0VaW6GOuyYGY/XjbDofNjgoL16Pp8/JeeZt3 + zIdZBPpvjD4Y1y6zKaUlrOOHj/Bnwm7c6D9+/Dj5j6SN6OjoRMfFRYSHtzjphP9WsL4DuhQIUORq + 9owZd2/flnDCwEGD0rKylJX/M7O1NTDw9atXEq7aHRpqPGjQ5KkdoJ4mEAkXYSNJEk+lCBA3syJg + 409bdgWHBO3cjkMQo4HG/oGb4o8c9Viy7IvBgz3X+PCXlo8M20PT13de40qhUgvoeRw2x0i+AUpn + Sjf5JG8fH9tJk9zmzWtNDgqs74CuBgIU+SnIy5McnSCESh49OnPy5FyXfzcs3CsulhydYHdu3eIF + KLCLp4OSJtdEAvOhFstWLl/r6W1pPYZCpXJesh+Xlg4wMlrmvkIg3aSAnlfB4eCtxSRBcNgceU6f + kASRknw++fQ5HJp06MJr0jM1M7tKp3ssX85fsb5ZoLw96GogQJEfgU49rTwNdD7i6tlLX8DNxs7W + xs62gJ5HEgTFeowejSZyt/DljExnt/k4KMnOzDKzMG9xgbjmYhYy9v+2r7ysDCGkqalhb28fELCp + Qxdek56Ojk78yZMtW+7R0dERuf4LQCcGAQoA7UZF5T9reeLq2Uu5xMPzyZ3Dj1msAcbG6J/2wsmy + arUjmXBN2M5ReK25vH18LMeM+WH58mYt91hZW0P7YtDVQIACQPurYLMREtsxWMLSD14uKaDnmVlY + LHNfIfApbhZIEoSz23wbO1v+j4oKCwtIMiUp2dltflvXZOOwOedOnb6SdfldXR1CaPDgwQEBGztE + C+I2Msba+iqd7jZvXnFRkZSXwPoO6IJgmzEA7UZFRUVbWxt9XNcTt5QjbumHJIhA/40cNsfTZ3UB + nS6wWzg7IzMqLNzGdqLf5oADsXH8H/ltDrickcUsZPhtDhAIXGSLJIgDMftXe/yY/kfqu7o6KpUa + EhKclpbSlaMTTEdH58LFi0uXL5fm5H79+kEBWdAFwQwKAO1JV1enurqaJEkJ0yTiln4iw/bwJk4G + GBtXcDi8uRAOm5N4PH6Z+0recg///Y0GGgeH7pD9l+HDa0Fc+bYSdZlM2OYK2LIF7+6RfJrdlCny + GQ8ACgVmUDoh2MXTgQwdOhQhdOv6TZKsoVC0pL+wgJ5HEqSzmytCiCQIXloJlng83sbOFkcnzEIG + TZ8mz306zEJGgK9/ZNgeHJ1YWVnl5Pzp4eEO0YmwMdbWV//6y9TMTMI50L4YdE0QoADQnnCWaGlJ + iYQZFJFLP5czMr+zm8jbiTPA2Jj/nKLCQhvbf9ZuEo/F29hObJPRC2EWMrYF/hTotwEnw1pZWV25 + cjk19UIX2afTMv3695ew3APti0GXBQGKosM5Cp+k2717W48EuuycxgAAIABJREFUtAV395UIofr6 + +pyrf4qsZy8uMaWCw8FTJsxCBs515X1EEgTuL4hTQBBCcghQ8LO2bwnCZWEHDRoUEhKcmnqhC+7T + aZmALVui4+KEj0P2CeiyIAdFfkaMGqWkpPTJNZfRlpb8b/v17z956tT0tDQJlwwcNGjOp5axgWLS + 1dU1NOxfVlaeeuHC2K+/Fj5B3MzKAGPjxOPxNH39Ajp9mftK/p04FCqVQtGKDNvzmMXS0qL4B25q + 0/WdLlUTtk3ZTZ584eJFv7Vr+Xf3QHl70GVBgCI/3bt3j9i79/TJk+LqH6goK892dja3ENxu+lts + bMmjR9XiqyYMHzlSlgMF8hUSsm3hwsXv6t49ZpVKf5XXmtWJx+MRQkG7dgpPseyOisjOzLKxnchf + 3r4tMAsZ4bt+7jQtiNudqZnZhYsXt23dejAuDkF5e9C1QYAiV1MdHKY6OLTgwoGDBkl/Mn+SLFB8 + 9vZTdXV1uVxubW2t8KcSWhnzL+sIoFCpuF9x24HCa20nYMsWExMT37VrIfsEdGUQoHRysIunQ9DV + 1eFyuX36Ggh/JE0rYzkrLWElnTlzLfsqfjtq1OjAwE2dqQWxIpg9b56JmRlMn4CuDAIUANofl1uF + EKJSRSREN7fOfZuCdBN5krz3GIBODwIUuaqsrDxz8mRNTY3IT1VVVKY7OcHfmbogLpeLENLRFdFs + hSRJCrX9AxSSILIzs+IPH+tSLYgBAO0IAhS5mj558ovnzyWcsDs0lH7rlp6entyGBBSHmpq68EEO + m2Pe3okd/Okmmpoa48ePDw3dBaVNAABtCgIU+bly+bLk6ARLvXBh8bJlchgPUBBlZeX4hUGfPsKf + SijgJgfMQkb84aN/Fxfjt5AJCwCQGwhQ5EfKfNXWp7XCLp4OSkNLs72H8C+SII4dOgItiAEA7QUC + lE4OdvEoPpyAghDq0aOH8KcVHI4eTUQl2bYDmbAAAEUAAUonBCFJByWyZbE8l3hwC+Jjh47gwmsU + CsXTc5W7+0oITQAA8gcBimzcvnXr1IkTAiViX7x40V7jAR0IbwZFpOZ2OW4xKLwGAFAoEKDIQFNT + 0/eLFlVWVrb3QECHJK71ASaHGZTSEtbxw0dwkz8EoQkAQDFAgCIDudeufTI60dDQkM9gBEC6rOLj + crlNTUhJCQm31BHXylhWBNJNDAwMvLx+9PBwb7snAgCAlCBAkQdlZeW5Li41JCmfx0FQ0rFwuVxx + +67abvqEJIiU5PPJp89BJiwAQDFBgCJjQ0xMPP/3P4GD477+WldXNzsrS/7jgV08HUW3bt2ED0ro + FNgaAi2I7e3tAwI2QeG1zicnJ3fDho3Fxfc8PNxDQoLbezgANA8EKDLWW0/PXky/YpuJE/X09Coq + KiTfYfJUKDXRteAcFDV1NeGPamRd5x4yYbsIBoMZGvpzeXm5m9t8V1eXTZs2Gxt/ERIS7Orq0t5D + A0BaEKDI1dmUlFOJibVievEoq6g4zZrVp29fOY8KtC/cKVBLS8RWHRku8XDYnHOnTqf/kYrfjho1 + evVqLyi81vlwudzQ0F9SU1NdXV1UVVWHDRumq6sbFRXBYDAXLVocExN75MhhmC0DHQIEKHLVp29f + bx+f9h4FUCx4m7GysorwRzJpZQyF17oILpcbExObkHBi3Lhx7u4rY2Jiy8rK8/LyZs2a5eu7zsLC + /Pbtm9HRMSNGjIIVH9AhKLf3AEAbg7L3HYS6uohOga1sZUwSRHZG5oqFS1OSkuvqaikUSkhIcGHh + bQ8Pd4hOOpmcnFxHx5k5OX+5u69kMpmpqRePHDk8bty4/ftjEULGxl9ER8cghDw83Fmsh1wu19j4 + i4SEE+09agAkgRmUjqGmpqZafLUMfQMDeQ4GyBaeQdEWFTG0ppWxQLqJnZ0ttCDulHJycgMCNuvo + 6NrbT2UwmCdOJPr6rudfvAsJCXZxcQ4N/fnEicTIyAgLC3O84rN06fc7d4bevXurHQcPgAQQoMgV + m80+k5hYW1cn8lMVZeUZM2caDxwocHzzhg2nExM/fPgg7rbjxo/fFxen9XEtgL9ZIOziUXw4B0VH + R0f4o5bloDALGUmnz0DhtU6vrKw8JiYWp5uUlZUnJJxwd1+JF+9wJsr169d//vkXQ0NDCwvzo0cP + JyScWLRo8bhx4374wePEicSGhnodHe3o6BiofAMUEwQocjVz2jQOmy3hhKhff829fp1/RqSioiLh + 2DHJt83NyTl98uSipUtlM0ogX1VVXISQjq7IAIXUak4OCm5BfPVydl1tLYIWxJ0XfybsuHHjEhJO + uLq6hIRcxit3qalpmzYF9O9vaGCgP3z4MF7Siauri6urS2jozxMmfBccvPXKlcsIoREjRtvb28PU + GlBAkIMiP9lZWZKjEyztjz/437761LZk7MXz5y0cFmhveIlHZB2Umhppk2RJgjgQs3/FwqXpf6TW + 1dZSqdSQkOC0tBSITjoZLpebkHDC0XFmWVmZr+/6hIQTZWXlR44c9vVdr6urm5OTO2HCd9HRsUeO + HD5//pyuru6cOXNw0smIEaNw0omv7/rGxkach8RgMDU01A8fPtLeXwsAEWAGBYB2Vl/fgBASmQwr + 5RJPAT0vJmovLrympaXp5eUJLYg7JV66iYuL84kTiQkJiUeOHOYt3i1cuPjhw4dLliwWWLIR3maM + +AqlDBr0hbqoGjwAtDsIUABoZ7hQm8iZkk+2MobCa11ETk5uTExseXm5vf1UnHrCSzcpLy+vqqo2 + MzO1t5969Ogb/uiEy+Xy4lT+bcYIoUWLFuM7xMTEinwi/7UAtAtY4gGgnVVXE0hcgCJ+BqW0hLUt + 8KdAvw04OrGysrpy5XJq6gWITjoZLpe7adNmLy9vCwtzc3PzhIQT5uZmV65cxoFIaOjP33xj8913 + tgghV1eXFy+ep6amSbibh4f7nTs3EUL4Drq6ulxulUCCNn7iiBGjvv76WwaD2ZZfDgBJIEDphGAX + T8eC/3WRQr0kxbUyxukmm9b54X06BgYGISHBCQnHIDTpZHCgMGHCdwghV1cXnEGSnJyEAwte4ZMf + fnA3MNAPDf05JydXVVU1PHyP5Nvq6Ojo6uqKnB3hcrm+vv7jx3/D5XKvXMleteqHRYsWb9q0GadJ + ASBnsMTTwQiEG1CFraPj/dF/IGY/SZLObvN5HwlPnwi0IKZQKBs3+kNN2E4pJyfXy8u7f39Dd/eV + J04k6ujo8tJNeJko27YFjx8/LjU1zcTEZNeun/v1+2zo0KH8N6mvr9+9e/evv34iZOE9MSBg84cP + Dc+fv9DV1TU07G9o+M+uH9zHB3YjAzmDGZQORum//4CODicw4teJx+J9Vnly2Bz8VqCVMbOQsXGt + b+Kx+Lq6Wk1NjdmzZ+Xk/Ak1YTsfvBMnNPQXd/eVCKHU1Iu+vuvPnz9nYWFeVlaOl3tcXJyPHj00 + fvw4fEm3bt1MTU2OHTvK3wvQzm6ysrLy3buFn33Wv6iomHecy63SFdrTbmc3ed06X1/f9bm5V4V3 + /Vy5cpnJLJow4bucnNw2//4AfAQBCgDtzNXVhcV6iPcDPyl97LFkWeLxeIRQBZtNM9BHCDELGT6r + PAP9NpSXlSGErKys0tJSY2OjoXZFJ8NgMD09vb28vO3tp1pYmMfExNrbTzl69BBvr/i8ec6qqiq8 + 9BF8EGezqqioCNzq+fPn3bqplZWVjRw5at++aHEPxZdXV1c3NTWFhv5cVlaOg+YjRw7jiillZeW4 + +Ky7+0ovL29PT++ysvK2+yEAwAMBiqIzMjbW1NT85GlffPmlHAYD2oiuru7Ro4d5/dsSj8Wv9fR+ + +fKlspJyTNTekC1bcSbsqFGjjx49DJmwnQ9ON1m0aLGhYX9ceK1//368TNioqL379sUghP73P++r + V/8Ulz7CfzwoaJuz87ygoJ8sLS2PHTt84UKK5AFoaGjExcW6uDhPmPDdpk2b0cddPy4uziNGjMJH + XF1dbt++aW5uNmLEqNDQn2X49QEQCQIU+bGZOFGapjn206fzv9XQ0PBes2bgoEGaWlri/nFdsGDW + 3LltNnAgJ7iRG/7rcmkJ61T8iStZWel/pL6rq8OF106dSoDCa50Ml8uNjo6ZMOG7srIyd/eVuPCa + QCbsL7/sDgraxuVyXV1dCII8fPjoJ2/74MH9X3+NTE9Pf/nypaPjTIIg6PS8T16F/wtECPFaCQo3 + F/TwcL9y5XJZWfmECd9Bu0HQpiBJVq7Op6WdPnmytqZG5KfKKiqOM2fSaIIbN1Z4eKzw8JD+KbCL + p+PCUynR0TH476wNDQ2QCduJ8dJdcSYsbkHMy4TFhU98fdeXlZUdOXJs/Xo/PT29ioqKv/6iL168 + UOQNefMoPXr02LJly5EjRx8+LNm8eSOXy6VKrPjHPwGDmwt6eXnHxMTyNxfk1XnDR1JT0zZs2Hj2 + bNKpUxCmgDYBAYpc9erd233VqvYeBVB0Hh7urq4uCxcuQQhFRUVArknnk5OTGxr6C0KI14IYl01D + fH12eKXYoqNjxo61Pn78+JYtgT/++APuLokQYjCYQUHbioqYVlZWAvfncrmjR4/S0FDX1qZ6eLif + OJHI/xGORc6ePZeWdlFdXV14eBYW5leuXMbNBe3t7X191/HqvA0fPsrH53+bN2/S0dHp0aPH5cuX + 6+vrVVXhVwmQPfivCgBFpKure/78ufYeBZA9/j5/Ai2IEUJr166/fPmyq6vLlSuX+efM1NXVNTW1 + cDUUHKBwudypU+3NzMx69ux1/vyFvn37iHyWuIm3MWPGIqSkrU29e5cxZMgQkefg5oKbNm3G24zN + zc1TUy+OHz+usrJy4cLFeHYnOlp0IVoAWg9yUAAAQB54hdd0dXXs7e0TEk7o6uoKbMlJT0/fvHkz + 7vzHu7CqqkpXV0cg2oiJibWxsVFTU3/3rs7NbT5vWkUaDAazurq6Z88epaWl1taWhYWFEk4OCQlO + STm/f//vS5YsHT9+rKFh/8uXL48bNzY5+RxkRIE2BQEKAAC0LdyCGGfC+vquT01NYzCYR44cDgkJ + xpmw5ubDfv55N0IoNHRXcHCwNPeMjd0/fPiwadOmTpo0ado0+9raWuHqJvwDEJhKcXJy9PT80dra + Oioq8uLFdAkXbtq0edWqH52d506ZMiUh4YShYX/+oKqqisuf9AaADMESj7ydO326RkySrKqq6nRH + Ry2hnizRv/12OjHx+bNn4u7pOGvWjp//3fUHf14AoDgEMmETEhJxBViEEIPBjImJzc3NNTIy2rcv + 2tl53rhxY2tqao8cObZo0QKRd8OzKQihDx/qjxw5SqPRHj0qSU1NbWxsRM3p8Bcd/X/27jwspv0N + APhLK9K4yhJapEJKkoqKkpAsoe5VrsrWwk9ZS0n2XKbuRYXKdhUm91YUKlSihmtJ0hSStJCt6GSk + mSy/Pw5jmqZ9mVHv57nPc80533POO9M509t3DS0oKHz+/LmdncOHDx8+fPjAk98QBBEXF0+l+mlo + aHh4uFOpfvLyCtyLJyPU1jBBaVdmEyYUPH1aT4GtPj7/ZWRwr91VWVkZuGcPi8Wq56h/IyLG6OlZ + 8RtpjKN4EBKUrCwGlepHLkFMEBVUqr+Hxzqyuwl3T1hf3+3e3j7Z2dn29g4EQXTv3u3t27IGT85k + MgsL8+3tHcTExGi0k9raYxoZFbm6wrVrKd7ePs+ePQsI2Eel+vEsClg7qQoMDOBMXItQ+8AmnvaT + du1a/dkJALDZ7HNnz3JvKSwoqD87IeXl5rYoOIRQ6+FMvMZZglheflBGxh1y4jVy4hOCIDjznQCA + o+PSvLwnYWHHLSwsJCUla56Nd8FhDgMDA21t7dq1JgRRwWAwwsNP0Ol0visS9+zZ08lp6bhx43j6 + kWRlMezsHDZu9OHMZsszrT5C7QYTlPZTXV3disUQQkKIIAhyhniCIJydneLi4oFrCWIAMDaeRM53 + wjOAvGfPnn36yGpqavBtpqmoqODbdsNp8al9lKOjU2VlpaysrKmp2adPn/iGyn0IQVTUTqp4+vAi + 1J4wQUEIodZBrvOXlnbd2dmJwWDExSUEBgaQicjly4lkmepqtouLU5v25CAbcebMmaOgIC8mJmZr + a1NaWlZ/F1oAsLScDQDkbLZQM6mq/1rY6Q21EeyDghBCLcXptGFra0NOvObh4U62npDdTcLCwuzt + 7X19t/v57fb23tjsAboEUSEvP6iuvRUVFUVFRZaWcwiCUFRU7NlTqrj42YQJ40+ePPXs2XO+2UZa + Gp0gKgwNDS0szCMiTktLU7AnLBISWIPSAeEfNAi1m6wshre3j739QguLaQoK8jRaBGeOEM7EJ/Ly + gzQ1R9JoEdnZOQRBvHz58uLFS42/BEEQPH1Q6hmtU1xcvGPHdgA4depUUND+4OAQT08vAJgwwYRc + P6GiooJzEhotguy6S6FIk0lVbOwZzE6QkMAEpYPDUTwItRFOT1gKRdrCYhr3HCEAwJn4hGwrERUV + HTZsmKXlHBrttJ6e3ufPnzkn2bx5y9OnT0VEROppT+H0NeHx+vUbcXFx7i0WFtPIDq0ZGemjR2ub + mpqSk9Leu5dOrvmXmpoGAN7eG0NCQtevd1dQkA8JCcWJ15AQwiYehBBqGs4cIYaGhs7OTiEhofLy + CjExZ8lOr5zmHp62EhOTCSwWKzb2jJ2dA7mlqKjY3HyatDTl2bNn+flP+/X7tlBoIzulLlq05L// + br5+/TovL59CoXh7+8TFxQUGBnAKaGhocM4mLS0dFBRw48Z/8+bZSkpKampqUCgUcqJ9cr64Vvps + EGo1mKAghFAT8MwRUlRUzJ2IXL6c5OTkvH9/IN/aiB49egDXsOGIiAgNjRFJSVeUlBRLS0vZ7Gr4 + 3mW1LgRBaGiMIP+RmpomLS3dp49sTExMZWUlOTst3wYa8pzBwSEhIaHGxhMMDQ14kqpmI4gKbFNG + bQQTFGHXr1+/xhQbJI8L3iLUttLS6CEhoeTEa2RPWBubeWSDDkEQmzZt3rdv7+TJkxQVFRpztpyc + nCNHjl6+fMnDY721tdXly0lJSUmNjIROvw4AAQF7Y2LOycr2ZrHYJ0+eqn91SUvLOZykihznjH1N + kJDDBKX9TDA27tmz5/v37+svZmJqyv2yt4zMwiVL/j19+gOTWdchEydNsvrtt9aJEiFUC/cSxJyW + EZ45Yd+/fy8l1dPXd/uOHdt//92usDCf+wwVFbwdXR8+fEQQFfb2DiIiojk5Of/9d0NaumdjgvH2 + 9qFQpLt27UqnXxcTE5WXl8/Le8ITLafJJiuLMWvWHENDQyMjAzKpIiNv2eeBUHvABKX9iIiKhp8+ + HXn69IcPH/gX6Np1tpWV0uDBPNs3btni5eNTUXfF7y+9e3O/xBpXhFoLd2pCLkFsaGhIdjsFgLQ0 + uqurG7lIjb29Q3BwiI7O6ICAwI8fq2oPtOHp6Nq3b189PT0LC3Mfn82VlR+XLXOJi0uoKwYAec7Z + bG1tbGzmLVhgRxBEZGT0+fMXli936datW+2jsrIYdPp1FxenoqJi7qSqNT8ghNoMJijtSkNTU0NT + sxkHioiI8GQhjYSjeBBqHoIg6PTr3t4b5eUVPDzcQ0JCufu98u0Ja2Rk6OW1YfXqVSEhoQRRwUli + Vq5c/eHDjxrQigqCrHoBABcX57i4BA+PdQBQO0EhCMLX94/Hj/PISxAEQRCEoaEBhULp2rVrUFDA + hw8fxo83MjMzI2dX+35UBUEQJiamtrY2CgoKNFqEhYUFJ6lC6GeBCQpCCPGqvVoeZ+K17OycU6do + 5Dp/PBUShoYG8vLyLi7OISGh5JajR49t2bJt+PBhb9++Cwrab2xs3OClOV1oi4qKjY0nqqqqFBcX + 798f3KXLt34k5NKDZOEePXpw151wDy+ytZ3Hk1S1BZxJFrUdTFAQQugHniWIQ0JCeRKRR48enT59 + Oj39dmMqJMLCwnfs2O7ltcHRccmZMzXWAa1rahOOkJBQXV2d1NTrmpqajx49lJGR5SRJRUXFta+e + lkb38trw5MnTGTMscnNzabTTO3Zsx0X+0M8LJ2pDCCGAOpYg5p54zcBgfFFR8dy5cwYNGkSuAljz + 8AqoOYXJ3r0BL168srdf0Ldvn8WLF2trj66q4rMyOdniw72FwWA4ObmEh5/w9/c3MzNdtcr111+t + R47UrH8iNVdXt+XLl128GJeQcHH2bEtcghj97DBBQQh1djxLENNoEQRBcFbLS0ujkzPAPnr0iEr1 + A4DFixevW+fe4GmlpXv26NFdWVmVICpsbOY/fPhQSqpHY+KhUv3JCent7R1KSl7QaBFRUdGZmZl8 + I6/ZxmSoqakhI9N77ty52OME/eywiacjwiZhhBqtnonXOBOfkG0rMjJ9L1yI69q16/nzF3jqQnhm + VyOICgpFulu3bgYG45ydnaZMMZ8yxWzo0KHk/CVkVlFcXMx9CHeLT1BQgLS0dGXlRwsL823bfEVE + RNevd69rjA/nhEVFxfW3GSH0c8EalA4OR/EgVJe0NLqJiSmV6m9hMY1ntTyyucfV1Y1nkZq5c+e8 + fPkiI+MO5yTZ2TnW1r+NGjWmsrKyqqqK+/xk3qCpqdG/f7/FixfzXJ3cm5ZGf/w4b//+A5yQGAwG + OUU9ALi4OI8Zo7Npkzdni1CpZ81ChFoOa1A6OKxLQai2oqLikJBQGi2C7xwhf/655/DhIytXunIP + zSV/Gffr17dfv77cv5U3bPBOS6NraWnl5T3euzdw2TLn2pcjK1Rqb8/MzIyKijIxMU5KSr506TJB + EMXFxUFBAQoK8gwGo57qEIIgpKXrzAzquhxCPxesQUEIdSJk1Yil5Wy+SxCTLTWKigqioqJkB5Ra + h1dwTwhbVFSclcW4dy89MzMzPj6uV6/6qhN46hs+fvxYWFi0e/futDT6kiWLREVFdHTG1F5SmNOF + 9t27ty1+9wj9TLAGRWAYWVktObx5E74h1GmRc4SEhITKy8t7eLhTqX7kDLCc7iYeHp75+U9v3bph + bW0VFLSfRouoa0p4Tqrh47NJXFysvJwAgHfv3vXo0YMgyhuM5MuXL/7+f0ZFRXfp0tXScuaePXtm + zpx55UrK+/fv+baYEAQRH59QWFgkI9PX03O9u/tarl0/EiZscEEdDCYoAvAgJ2e5k1NhQUFLTqKg + oBB48KCmllYrBYVQR8bpCRsYGLBo0ZJXr95wUhPOxCfz59tu3ryFSvXz9d0+dOgwLy/vBtesGTFC + /ePHSkvLOQDg6uo2fPjQvn37QkO5wqtXb16/fu3l5RkefkJZWVVKSsrR0fnly5fGxhN4SpI1Oq6u + boaGhrt3/7Fjh+++fQHcCQqnWD0tPm0nK6u+diiEWgibeATg34iIFmYnAFBUVPTP6dN8d+HEjghx + MzU1c3BYxOkAO3PmjPT0OxERpzkTn5A9YY2NJygoyF+6dMnIaIKsbG/uX71FRcWent61fxkTRIWp + qWl+/uOvXyElJXnMmDGSkpKcvZyBOV++fOE+qnv3biEhB0VFRczMJqWkJH/+/MnZ2cnXd3uPHj24 + zkwUFxdzliBmMBg02umQkGBxcfEG3287VKVwOhF7eDQ83Bqh5sEERQBKS0tb5zxv3jRYBkfxIKSi + oqKiMmTFCjdywZrNm33y8x8TBDFsmPqjR4/IDijkb3QKhTJ8+PDly5c7Ozt37frt69HDw9PMbPKj + Rw+Li59lZ2fz65hC9OpF4ZsTPHv2fMoUi+rqajOzqeXl5aWlZd7ePj169OAU1tTU6NOnz8SJJtxH + paXRyYngLCymKSjIh4SE2tjMI7MrskCDs9C2Hc6cMQCQkpKMCyOjtoNNPAImr6DQpL91KioqigoL + 2y4ehDqejx8/rlzpJi8vb2/vEBISGhZ2XEFBPigowNnZyd7ewc5uITlwhiwsLS2tqKjAqYQgCOKf + f/7t00c2NzdXUVExNTVt3rzf6homQxAV8vKDuLekpqa+fv16yBCVwsICP78/e/bs6enpkZZ2leco + ztm4F0+mUCjk8CJf3+1C0rmE7xKJCLURTFBaWUZ6usXkyZx6C95/fP0KXbq8fPGCU97d03PGrFmN + P//F+Phljo7kv68kJeloan79+vXr169fvnz5CkD+69Pnz63yXhDqSDQ1NTIy0oODQ7S1dVxcnH19 + t/NssbGZV/uoPXv2jh2rT6XunjZtenLyZS2t0Y28HEEQSUlXCILIyWEoK6veu5c+a9ZsCwsLcrgQ + 3/JxcfF0Ot3CwsLW1oZGizA0NORZgrh2YsS9MHKb4qQmuL4PajeYoLSyDx8+PHzwoH2uxWaz2Wx2 + +1wLoY7BxcXZxcV5xQo3ZWVVX9/ttrY2Li7OtrY23t4+M2bM6tWLIi8vz13+woW4/PynsbHnREVF + qFT/L1++lJX9GO5LEISGxgieSxAE0aNHDxMT0/fv33/58oWcPbawsKj2TG4cly8nhoSEamhoNG8J + YnJe/DaqZeHMGePhsY5n9WaE2hT2QWkFLXlie/fu3aTy/fr3b1J5ZRWVJpVHqDMICgqIiTkTEhJq + YmKalcWgUChBQQEREadYLFZaWtqbNz96iQ0bNmzHju2XL18uKXkBAObmU2VkeJ/Z2hUbnz9/Cgs7 + Pm/evClTpnh7bwSAjRt99PT0+vXry3NsWhr948fKo0f/dnZ2AgAa7cdstvXE/+ZN6atXrzgvi4qK + uIJptR6ynDlj5OUHZWTc4TsxDEJtBxOUVqCppTVt+vRmHDhx0iQDI6MmHTJKW3uutXUjCxtNmPDr + PD611gghTU2NlJRkG5t5lpZzvL19AGDcuLEPH+aYmk5as2btjh07OSUVFRV27Ng+bNhQX9/tHz9+ + rP1L+vnz52/fvuO8rKioEBERJTOM0aO1U1KSJSW7xcTw5hyPH+clJSVt3OizbJmLtvaokJBQCwvz + 8PC/61+ymDR79qzPn7+YmJhWVVVRqX7ksS35NHgQBEEwW76zAAAgAElEQVSjRVhazqHT6fLyCpia + IIHAJp5mevfu3ZvXrzkvt2zfvtTZ+WNlJTnElzPQl/vl169fgWu7hLj4gIEDuU/SSOs3bFjh5sZm + s7t27QpdukCXLl27dOnSpUsXgC5dunTp+u1V165dxcTEAID7EtXV1c1/zwj9nHimf+VGtvh4e/vI + yPT189v95En+3bvpPj7ed+6kP3yYe/ToMU5JERERvmc4efJUbm6unJycnNxAObmByspK5KAb7jIS + EuIUCoXThZbsCZuQkDBxoglAlzNnzjo7O/F0N6kfhUJJSrpEo0Vs2rTFwkIlKCgAAKhU/0YeXj/u + OWNKSkr27z/YKqdFqKkwQWmmxXZ2gg4BIdQ6fH2329jMs7Nz6N27NydRCAs74e7uTqH0qj2SltOM + wmazHzx4qKSk9OhRrrr6iHv37omLi8XEnOWMCeJRVfWRSvUjO8C6uq4g+53UU76u65JsbW04sbXK + UsY8qzfHxcV7eW0YOXJkC0+LUPNggoIQQqCpqXH1arK29hiCqMjKYpBVCGZmZgkJF+uqfUlLo1+6 + dElRUenYsSPTp8+Ijv7XwGD8ypWunGyDpwvt8+clMTGxysrKzs5OERGni4qKhWewLlmpc+oUraKi + wtbWRlpa2sTEVFqacuJEuJBEiDohTFA6LzU1NUGHgJAQoVAoFhbT5s61Jqd2JYf2kLtqzyVfWFhI + pfpTKBQGg7FvX0DPntJUqv/bt2+5x/h8P7ZCQkLcxMRURETU0nJmUVFxRMRpsoqiLd5FU5cyJlMT + Gi2CHHwEALGx5xgMho3NvLpGRCPUPjBBaRrD8eOf5OUJOoqWku3Tx3revF+aOIAIoQ7v99/nX79+ + o57uIB8/VpaWlsrLy//yS+/w8L9XrHBbs2bNpUuXHj9+bG+/YObMGTxjfMrK3gYEBDKZzEWLFhYV + FcfFxZOpj5D0OU1Lo7u6uhUVFQOAoaGhr+92JpNJo0Vs375VSCJEnRkmKE3zPze3/7m5CToKhFAT + kLOZNaaklJSUtHRPvoU/ffrk7e2Tnn733r37w4YNFRUVIYv16SPr6bn++fPnLi7OdnYOnGMJgsjO + zr506dLSpUssLS3JtZF9fZvQE7ZJKBTp9+/fN748pyess7PT5s1bqFSqg8O3fnXjxo1tiwgRaioc + ZowQQvyRM7R++PAhNTU1PT09K4tx9WpKXt4jCoXy5El+cHBIXUeRY3QHDRrk6bmeRosgu5t4eLi3 + MDupZ9ViCoUyaZKZjEzf2Nhz9Z8kK4uxYoWbq6sbub7P168gKiqamJjYksAQaguYoCCE0Dd8MwAP + j/WpqXRDQ8OKCoJOpwPAyZPhKSnJdPr1q1evlZaWcRd+8OCBpeUcGu20jc284uLis2djw8KOe3is + W7/ec/t23zYNPiTkwPnzsceO/f3+PZNvge8Tr81RUJBPSUnW0NCYNWtOfHzCzp076fTrJiamZFsP + QkICm3gQQoi/x48fA8CzZ8+vXUuhUChxcfHe3hvp9OseHu6amhrh4ceDgg78+eefampDxcUl09Lo + 9+/fz87OtrGZR04PT3Y3IRf/KyoqXrHif20aLdlqM3DgQHJaFG5kpQ6V6k/WCd29m0FGRaFQBg4c + eOzYMQ0NjXHjxnJWKWrTOBFqJKxBQQh1cM2e/V1VVZX7pYXFtIyMdA2NEdraOuQAnxUrlmdmZhga + jk1Pv7Ns2fLff59vYGBAo0VoaIyIiTlbXPxMW3tMVhbD13cHhUIxNDRonfdTS1oafdasOVSqPzlN + Pk8nkrQ0OjlbLkEQOjpjevbsmZqaSqfTydnhqqvZ+flPbW3neXmtv3Dh/KVLl9XVNdsoToSaBBMU + hBBqAhcX5/z8xwRBmJiY0mgRALBq1ap799J//dWafBkTc1ZBQcHScnZWFiMm5kxs7BkGg9FGI3eK + ioq9vX1cXd34TpNPJi5eXhvu32eQVT6XLsUVFDy5fPkiuRQRjRbxzz+nL1w4R6X6qagMdXR0XLJk + 0ciRmpzx1QgJEDbxIIQQfwRRQf6DJ7cgFxfMymLY2zvExcXPmTM7ICCQXIKYIAh7eweCIJydnTjz + iMTFxe/Y0QrtJhUVP+Y4IecviYuL4ztNPvfeqqqPjx/n7d8fNGKEOrlXXV0dAMLCjs+f//v58xdO + ngzPyEhXVR1WUvKiuPjZokULFy5cPGvWTH19vZbHjFCzYQ1Kq2IVXQleNltf30h/utf5wjpLvb2f + GOK73Gqikb7+mOHDDfT1jabb/XE87Qn/nm0tulZNZfRDGxZN1zfS159i5RyQWNbwEQihOmhqamRk + pCsrD161ao2Hh7ur6/9CQkLt7Rfa2MxLSUnmZCdZWQwAMDIybK3rkh1KTExMi4qKYmLO8qzkR/aE + NTExlZcflJKSbGhoeOlSYu/ev3h5edeOPysrU05OTkamL40WMXDggPPnY3NyHjg7L5OTk+vevXtr + BYxQ82ANSmthFV054LMuiP6aDQAAJfceV/ArVkYPdHPfe+1bKRKTCSUlR+6nHPnLwOvvsCXaEq10 + rVqHJVJXbjtVTr4oKYk3WuFmJtOYAxHqJLirKBrJ2tr66tVrFhbT5syxunXrTk7OfZ7KjPj4+Fac + NLa4uNjScg5ZW8MzCT1BEHFx8VSqX1VVlZubG9k/l0aL8PBYp6CgYGfnMGaM/tq1q3mWFvL3pzo4 + 2FOpfg8fPoqMjOrfvy+FQqmoIBq5PBBCbQdrUFoBq+jK3t8NzO3/otfIO/hKi6Bee80W76tj73Hs + zOXrmbfSUyMOLNMnJ598e/0P9wP3W+1aPEcmbll7qhxAXFy8aQcihBrhzJmoLl2+uruv595I1nbY + 2PAuN9g85KpAZE9YnuyE7AkbHBzKYrE+fKg8fPiItvYYCkXa1tZm+/adO3bsDAoKOHbsCJXqN2XK + NHIsDwfZPWXbtm39+vV9/57Zv3+/9PTbOJMsEjisQWmx29TpNoEFbIDuquarfaze+zoGPKq7tLKh + ncsEm2WzRvb+Xk/Su/fMDUcHic2eFfAYAB7FXs5YPVK7Va7FjUXfvenftwDiI5xc5IMCEprwBhH6 + yTV1eRpukpLdqqo+NrLwxYsJEyaYmJubz507m9xCp1/X0NBordoIIyPDlJRkno1ZWQwq1S8397Gc + nFxhYYGTk+P583FlZW8NDQ2yshjh4cfFxcUDAgIZjGwLi2kWFhanTp3S1h6Tn/+Y5zxOTktaJUiE + WgvWoLTY6+cl0FfL/q+Y9OQgl4kyDaR8mjY7vX/9kZ18I6FtZqZC/jOvoKi1rvUD6/pu70PFAKDq + 8scyNbHGHoZQ51ZRQWzcuKHxlY4jRqhv2ODp7OzCqaKg0SLaaFFA+N7dxN7e4enTAoIoNzefkpKS + vGrVysTEixkZt4OCAoqLi6lUv82bfcrKXhMEMWTI0KSk5NjYGENDAxub+W0UFUKtBROUFpu+L+dJ + epTvryOkWnKWstLX5D/69+vT2tdiZQRtOvQMAOQdN7o13MMFoU6r9owpmpoa3bp1q/8Q7sln165d + o6qqOmbMWHIXg8FoiwSF0xOWQpFOSUkeMWLE+/cfLCwsuIOnUCgxMWeuX/9v7FjDrCxGUFBAjx7d + xcREly51evfuXXJyCk9DD0LCBhMUofAi6vRFsp+r/JwprTyZEysjwP3gIwCQX+i/3hDTE4Ta2PXr + qR8/fli5chWNFqGhodHqnTnS0ugmJqZZWYywsOPOzk5Uqv+1a9cMDMbZ2MzPzs7hLkmhUM6ejVq5 + 0tXe3mHFCrcuXbqcPx8rLz+IxWJJSGBNKhJ2mKAIGutt5r8rF3gkVACAuNL8bc6tO/MAKyNgQ8Aj + AOg1f5u7AaYnqDNq9kyyzXbxYsKJE6eOHz/BM2SmhcjUxNNzA5NZaW1tRafTTUxMg4NDNm3aqKam + +vz58/HjTWrPsWZra5ORka6gIP/hA9PExLSwsPDRo0fjx0/AbrBIyGEnWQEoiPyfk9+dSgCAKqLk + 7UcA6N5b2Wje6g1rpw1t1RyClXHQK/gxAPSev2ubGaYnCDVVMwYeA8CIEepr1qzaty+gtaa3z8pi + REScPn/+vIKCYmbmverqT9u3b3/79l1MzJni4mIPj/XTpk27fz8DANzd1w8cqODnR50/v0Zu5OHh + 7uHhXlRUfOvWLQqFMnmyWasEhlDbwRoUAah88SS/pORlSclLMjsBgMq3z27FHQ+LzW7SXG0NuR/q + FZrNBug9a6cHpieo05KWlg4ODmnqUQRBvHr1ihzZ2wze3htev37Z8loKsrvJggV29+9nAcD06dOy + sjKtrKw2b97s4uJMpfrb2trExV3Izc21tJwTEfFPbm6usrKyl9cGvl1MFBTkra2tMDtBPwVMUARA + 3TUhr7g4rzjv1vXUy6kJf+9wGa/anc0svBO2xtJw4clHrNa5TFag995sNkCvuTu9p+OEbKjzio09 + S6dfJ/tt1F+S0xhETisyePDgBjOM5lWxNAZBEMHBISYmpgRBdOvWPT//yblzseS8sUFB+xYsmE8u + OzxligWFQklJSba2tiZXMz5/Psbc3HzGDMu2iAqhdoMJigBJ9JZXGqw0wsjB+1hyygEbeQCAt0m+ + XmG8ExQ0R96RzXsfsAF6z/TxxPQEdWrkRGTOzk5kX9GiouJ6Cj9/XsJZGTgu7rygOmqQGVJcXEJY + 2HFf3+0AX1++fFW7UiQ29syoUSO1tXWoVL9Zs2YOGjRQSUlJWVk1JeVKXl6eQCJHqLVggiIk5Kbs + 2GIlDQDAvh11Maeh4g26furobTYAQBXdb7a+kT7Xf5uSyCKFoQuN9PWNJjr8ndXiyyEk9Mi+ohoa + I7S1depq8WEwci5eTBg3bmztlYHbDbkEsaurm4eHe3j43wwGw8TEVFq6l5/fbguLGUFBB3jKU6m7 + UlKSi4qKbWzmM5lMZ2enWbNmjh49WkICm3XRzw0TFKEhIScnR/7r06fPLT5b5QdO75aX3/q7fP/v + bSW5h818/bKk5GVeclpOo5byQagDcHFxTklJZjCyTUxM4+LiefYGBe1bv97d3/9PKtWf3NKeI4DI + 7ib/+9+KsrKy169fZ2fnWFrOodFOl5cTSkoKgYFBv/326+nTp01MTHkqgTQ1NYKCAtasWSUiImJp + OTs29tzjx3nnzsW0T9gItREcxSM0WPlPnpL/ku7Z8hZtM5/41GWVfHdd2zbZ+zIAKDuFh9gpAYhQ + 5NqkBR0h4UT+Oo+Li/f23hgXF+/h4c49Ff2qVavmzrWiUv1MTEydnZ00NDTqORW0UgZDEASV6n/+ + /PmhQ9UAQEpKisWqjoiIePeuPCXl+PPnz+fNs50923LlSjcFBXkPD09dXT1PT6/Vq924T2JtbWVt + bdXCSBASHpigtKuCyP953tBbsdzKaAjPXLAvLnrvjmcDAIhPmjdbseWXkuqnVMd0s5mS5P9FpGQG + Kym1/EoI/YwsLKZZWEwLDg7R1tZxcXEmO5ySFBTkg4ICsrIY9vYOCgoKbHZ124VBEASdft3be2NV + VZWoqGhVFfvEiXBNTY3i4mJpaem4uHh7e4eYmDNFRU+pVD9tbR1l5cE9evTw8fH56689VlZzcM1h + 1IFhgtJirEdR/sHXS7+9ev/gBfmPVxf3rC0i/67qpv7r2iUGMgDwPOfWnX9iF/6zTUpxlJmV5Vj5 + 7gAAZRmRf0feeVYJANDbwGPtvDr7tDblWgihBrm4OHt7+xAEQdaXcM+rpqmpkZGRvnfv3itXUtro + 6mlp9I0bfaSlKWFhx62tfxs6dGhk5D/kLnl5eQCwtbVhMLLV1Uf6++/28HCnUHrt3u0nKSnZv38/ + dfXh06fPyMrKbKPYEBK4Ll+/fhV0DD+5rD3TLP5qYNzNzKC8A5YAwMoIX7t2R8Jjvm0v4lIjbHaG + brJQqLtrW1OuVaeY5SorzgGA6pq4+NWa9Z8MoQ5PRqZvWdlrsr5EQ0PD13dHk6olaLQIOv16UFBA + ky5KLkFcXFxMZkVpafRFi5ZWV7NPnAgzMjLkKXzjxn8uLstGjhw5Z87sv/8Os7Wd5+GxftAg+S5d + uly/ntqk6yL0E8EalBZTs1i/R7603iKymubkPyS07YKS7Ziv7/x3Lf02/eH3o7rJaxuMMTSp1e7T + omvVSW/R3j2mVQBSKgMaKIlQp0HWl/Bt8WldZHcTGi2iVy/KlStJWVkMcqaTffv+otEi5s2ztbW1 + 8fench8ybtzYzMyM4OCQpUud+/fvV1xcLCc3kMWqkpcf1EZBIiQMsAYFIdR5EQShra2TknKFU2tC + DqWh0+keHu6NWUknODikuPhZYxIagiBCQkKDg0MBoLKyUkRExMpq7vnzF1xcnA4cCO7d+5dBg+R9 + fbdHRJwODg5ZvXrVxo0bap9h1ao1w4cPO336Xzu73xctWojr6aAODIcZI4Q6LwqFsmbNGm1tHc4a + exQKJSgoICzsODmQp/5Z3RqPnHht924/giA0NDTWrl0jLi4mKytDEMS0adNSU1OGDx9eUUEUFxfb + 2MyztrYOCAjctWt37WiPHTvi4eGenn5r1aqVmJ2gjg0TFIRQp7ZixfL8/McEQSgrq9JoEeRGssXH + xmYed+7SPOQSxFSqf35+/tChQ8PDj8fGnnF3X/v06ZNNm3zCw49bWs4pLydOngx3dnZatWqtufk0 + JSXF9PRbfn5/Njg3P0IdGCYoCKHOjqw1iYk5w1Nr4uLiXDt3abyiomJvbx97+4U2NvPCw/+urv40 + YIAczwS1FhbTwsL+trCY7u3tY2trs3XrpqFDh/r7/7l+/YZ+/frZ2Tm0zjtE6CeECQpCCAHUUWvC + yV1CQkIb3+JDdmSxtJwtLz8oI+OOhobGihVu0tI9S0tLN2/ewlPYyMiQwbhPpkE3b95UV1fPyEi/ + du2qhoa6tvao1n2PCP1EMEFBCKEf+NaaaGpqpKQkN6bFhyAIGi3CxMSURouQkJCwtbWhUv3t7RcS + RMWePX8BwPnzcbXXVeakQefPx505c9bbe+OXL1+ys3P09PTa7p0iJORwFA9CCPGRlcVwdXUDgLCw + 49wzo6xY4RYXF+/ru50c40Ol+gGAh4c7fJ94jUw+REREu3T52qOHlIaGRlBQgJWV9bt35T4+Gx0c + 7Gi0iF27dsvLy58/H1v7utnZOYWFhTdu/Ldu3RrsBos6M0xQEEKoTsHBId7ePjwzo3Byl8DAgPj4 + eAAwMDAICQklVx/U1NQgF/Hx8trwxx87LS3nhIX9bWRkSJ7q99/n9+zZMy4uTkJCctIk07abcAWh + n95XhBBC9frf/1wHD1Y5dYrGvfHgwWBZ2X6TJplNmTJt1KjRe/bslZXtv3PnrvLycu5iqalpvXv3 + OXAg+OvXr+Xl5fr64+TkBgUG7i8vLx82TD0h4WK7vhOEfh5Yg4IQQg3jrjXR1Py2xLGMTF9z86ls + Nvvw4dBbt247OCweM0YnNvZM7WNtbefLysqGhR2PiIh49ep1cnIyk/lBTEzU1HRiUFBge78ZhH4G + 2EkWIYQaxukna2k5h7uf7MmT4YcPh1Kp/h4e611cHCsqiMmTp/IM9tHU1GAw7pN9bM+fvyArK5uR + kf727Vt5eQUZGVzaEyH+MEFBCKHGIsf4AICMTN+jR49JS0uTU6fExcXJyQ14/foNQRCDBw/W1tYh + O8/yHFtW9lpGps/hw4dlZPp26dJFVVVl6dIlgngfCP0EsIkHIYSaLCuL4eu7MynpSs+eUoaGBuHh + x+3sHG7cuLFhg9fixYtu3PjPy2vDixcvHz3KqesMBEHgIB2E6oEJCkIINVNOTs7AgQNNTEzJlQWz + shj29g5fv0L37t1lZWW7dAFpaenw8OOCDhOhnxI28SCEUDOpq6tTKJSYmLNUqp+9vQM5F62oqOjD + h480NTU8PddfvXrt1Kkmz5GPEAJMUBBCqIUUFOQzMtI/f/5CTj47fPiw0NCDb968mTPHauhQtXfv + 3go6QIR+SqKCDgAhhDqCkyfDyaHIT57ki4h01dcfe/369adPn8rJyQk6NIR+StgHBSGEWlN8fMKX + L1+Ki4vfvXu3cKEDJigINQ8mKAghhBASOtgHBSGEEEJCBxMUhBBCCAkdTFAQQgghJHQwQUEIIYSQ + 0MEEBSGEEEJCBxMUhBBCCAkdTFAQQgghJHQwQUEIIYSQ0MEEBSGEEEJCBxMUhBBCCAkdTFAQQggh + JHQwQUEIIYSQ0MEEBSGEEEJCBxMUhBBCCAkdTFAQQgghJHQwQUEIIYSQ0MEEBSGEEEJCBxMUhBBC + CAkdTFAQQgghJHQwQUEIIYSQ0MEEBSGEEEJCBxMUhBBCCAkdTFAQQgghJHQwQUEIIYSQ0MEEBSGE + EEJCR1TQAbRIFYsl6BDaWxeAr4KOAXU8eF8hJBCSEhKCDkF4/dwJyr59++/cuSvoKNpXF/jy+XPX + riKCjgN1HF++fO7Tr2/ZmzJBB9Le2NWf+sj+QhDvBR0I6qSqWKxzMf8KOgrh9XMnKKWlZR7uq3V1 + dQQdSPvZuGnbUDVVuwW2gg4EdRzPS178+tuC62lJgg6kvYWfoD3KfXz40EFBB4I6KU0tPUGHINSw + DwpCCCGEhA4mKAghhBASOpigIIQQQkjoYIKCEEIIIaGDCQpCCCGEhA4mKAghhBASOpigIIQQQkjo + /NzzoNQhLy3q/staW3spamsOG9xPirtgamTmGyllY3NtmWZeKTX63muQGTF94rDaswHmpUXdf9lj + 8MRmn73pkfDopThGW1NBprkTFTKfpKXce11FUdDTlipKyWnKe6n93lmFhW8VFeUAAKDsbsLV/A99 + RlmNV2lmaKhdlGUkpDxl8m6V6D9Mc7hKjfsqLzX63uvuyibmo5t5r5O3hISiwXRduTrC6DvSur3u + l7KMhCv5lTwbJfup6Y7SqPEF0hSssnupKfnlkn2H6ciz76U35f7n87wwCwtZiorkh93iDx8hIdUh + E5ScqG27EvnuEe+tv2zn/sWjv321Zsdu3XZ1yNIhzU4hss/s2poEoFxy8syKkXzDULZXa5cE5Vsk + tUn2M1zq4++o19Tv1fuh9kv2P6wCAACzbRuhae+l5nsvS/J13h6tsCb9r1kAAPAsLWTXoXzjHZig + CLln9OBtYU/47pJUtN4esHnKt2wi+8yurUlKjirN/h1J3hIgNenz+b9m8Z6DDGPSxnZLUJ6nHd16 + uIDPDvHuqjM89nhaKDYx6S+74GO95WIpGwBgyNKNpslNuv95npfMMJeNga+mHjmzgvygWvzhIySk + OmSCAgAAUkPGj1fuybXh3dObGQ/f3tzn4SkTvsdSDgCgv6bFNPE+ypSWXiufFkSzCrWt/adfO5NS + HT9O9UcmUv70dvaT0lf0oNUOVYGnXEc35Us16d/jD6tAsv8o3dFy/Q2G93s3bbK47GDpxh7eT4u7 + /LMHtx9XshU4eynKhpMthg7t34R4kODIaVmM6sv1uqrkfnrO88JI77W/9Dnmpi0BAP1HTrYQl235 + k8RMOhxCn7rBUChWJxmgPXnUj3u0qiQn82FhxePoLQuIT6f/mjWgCWcqiDl9sZQtJqU6aoJKL7XR + w/uxmnT/8zwvhRk3XlUp/9jdWh8+QsKm4yYofQ1ddvHWapTEuM/bdDXx4Kmblmv1AUDffrd+q1ys + +kZwSOLcLWYC/l6VNXH0ddPi3sIqTN63Zn1k7uEtf47+twnf+5kPspgA45ZFBNt++6tsV5M+Kf2F + 9ZVXmrHOd0ZTTocESX3m7l2zam5i3Q1c5Hg473hozO8Hf5MB0F/o2zpPEryMCjn1q+Ei1dY5W4uo + z/HdbVljCzM73H15YFrS7i003ab8QXI/KxOgj6V/pIchucGwSfd/A89L6334CAmXztVJdoClk90I + gBfp6dmteFapXr3EoPzy8ZOPW/GkrURC0dQjcI2uOLyMOhHT5LXguvfAOmPEj8RoJ4epUsC+k3Gz + NU8r3asXsDNPhiUK6SrlUiPs9u60HgDVN46favIb79m9Z8OFEEI/dK4EBUBVWUUK4NOnTwAAkJca + GRWdkEH+4i67mxAdSb5gvryTGB0ZlXjnZa0OgnzIznWxHADV946ENiYFYJUV3UmMjoyKbuTZW26A + rb2NHLDvpCbVDI9V9ijtQnRk1IW0R2VcvxDK7iZER958+h4AXmZHRkVHpuYB5KVFff9wAMoyEiKj + Eu6WAQDz1Z1Efu/lR/myjATus5Hb7iZER0al5tWMk/mSwS8eaMGPBrUZiaEqQwDYn6oBACAvNfr7 + LQFQlpHw/bFivryTGBUdmXjnVaN+XNqOLrriUHF2/6H7jShd9w3ThiQMlywxEIMXV6/dqrmDVZab + diEyKvp8zWjyUqMjo7JfAMD7pze+PRQ17/+GPy6u8nmpNc72bRvXh/8jnDq/Z/JSv5/tW8zt+gEi + 1Hgdt4mnDt26S/54UaOT7LO0kF2HwF65383lLkdzyN6hIKnuvDdseQO9N0TUlyyflLAx6eqBQ3Rz + z3raUV5cDdy2JSyd7CsHAOKyhuv277LlMwKodekbmMiG0bKzMuA3MwAAYBXG7Vq3Obrw27sEMVm9 + pX8GLRotAZzuigAA2We2ZgNM2mg9Hrg7vT5PO7r1MDgq9/1vxaqDPz6pxSF/u3z/pH50kgVOf8Ps + M1uzYcjSIebawNtJlvUwaqvPrguceEBSfZ7/X2uNv1WiN/9Hg9pMz27df7yo0U/zGT14WxgsVep7 + Y7VzyMPvP65hy4IPLddu4MdFsXVy+Of2oQY7dTVww7QpmUkTRm2/fvs+owD0lMhoCuP8XLfGPv0e + jbiszv/89y7WlgDu3utvUoO2pYKyvZp51xr3f8MfF1cn2ezYrduu/jjbpI3W41VqdZJt4Hsm+8yu + rUnGOwzehS7YHV9KZphislPXn6Q2qWMNQm2us9WglBUVlAJISdXVoez9dd9VR/NEhoybNnmcandx + qMoJ2RJwq47CP8hYOswdAlASdeRkbl1lWHcD16lFpzYAACAASURBVK45nF7Kluw/arzFNF3VHmLs + UvpO540xL5r7bhptmOJgAOarN9/+wo3zWbI+urAKJAePm2xhotlfsrr01kFHx2OPAb71yBs/RAoA + 5LQspk22GNmP3ymZV3d6HMzrqjpussW4IVLiUJVz1CuAT7W3tPI47rMZ8enL9yLGa8WWC4VV4t1V + v8UDVTmn17gF3eX+s66ZPxrURh4+zQOQ6tGjjt3vr/mvDHkiqqpL3upQ9fCgV1AjmkW0fl9oLEV2 + 6qrzj/rG3TBtRkZBsS9Ayevn5MuyC1sWeMU+rQLJwboW08aP6i/JLk3f47TsaC4A2YN1mtYAAJAa + Mn7aZAtD/j3NG/tx9descTY+z2Yjv2cKw9x2xzN7jzKZPHFUP0moLr24e/s/TW4ERqhNda4alJJL + +/6+AaA8eoxSHSXe5BWMc43eZ6coAQAvYtbYbUx6Sb+Z6a6nVccB32k5rrA8uzqGcSg41rL2OEkA + KIs5FJbHFldZfCB0ta4UAACrMMpryZakq7uCLpr7Tm3TmgCZ7t0B4HXpMwAZuPX3vosVwB3Ji9jV + NjsSM8OPXZq/c4rSjHW+MzKDZqc+Yf7oHRlb65SluQW67pF/2StKwPfexyXXb98HfZ6OyUrTV+8e + xHO2zBolbp06kFQBvYy3hO2wIodvMm/tWbT6aG4YNWxqhOP37pLN/tGg1sd6cPhI1BsQnzR6fB0l + XucWjlt3KvDbjyt2tc2OxBc3b2aCfkM/LhlLJ8eo63sy44JC55i58ivdyBum7Uh17wnw5DX56/zm + 0cCkchBTW7Tn+CpyMD95czIOHb/4u+9U/YW++hC7Oj6z5Ee3/czap2zsx6Vvv1u/V82z1dTY75mC + 3Pezg5I2GEsBp8tz2n90+G1W7VMiJCgdtwaFbKP98d8Rqsuvlu4JJSBlYmfF58H+RtbKzu77JAdy + lhZGUgDFxc8bcT0Js2VLxomT4yT5/B1XdulqGhsGWP1vue73ccASilZ/uM+UAualxIR2bAGmJyeV + AAz4beVqTiRys3Z5m0sB82LCxcYH0tdqvv33T2qApbmJFMCz58+aGY/YOJc1VpzJJaT0VvsuGgGQ + HXeRqy9Cs380qGXIrkic/8KD1v9uuSCQwQalBXZ1J9Z9LB1+/LhmTTeWAnj+rFH3h+rvzpYDAJ6E + hdL4VS42+oZpF/SrCS8A5OZ6rOJMNSRn2YznuvkfVw2N/54Z5+Bs/K2IxOiZk9UBoLgkp8kXRKgN + ddwaFLKNlpek+oLNXnPraakeOnQM16s+sv0AnnzrUtsQOdsV9mduHC7gO04y48EDAFnj8TV7qEiY + 6OjAuauFhQ8B2qkioOBRTimAvLlZjZGJEsajxkBCytOiRwB1Z281qKtxf1KyffoC5H/rMtn0eHQn + Tqn5Q1Ebra8I2fmFT3/E04IfDWoJsisSD/HeJmu21denRF2F+8fVt48sQEF1435cEoZkp67bBw4m + zd02qeY1Gn/DtIeCRw9fAyiaT6z5ODX9uW7Bx8Wt0d8z8sOHcdXzKsnIwo/BAwgJiY6boPBO1NZt + 4IixxhbjtRqY+F1UtAVtLSOdnGdHep3NPBmWOH+7WY1dH5hMAFkp3vlcJVRVleBqwdOCtk1Qyior + AaCv7CCAZ8z3ANCNyI2OzOcu8upzL4CmfL+LirRKqxTBfA8A3bvztoqNVlYBKCwszOR8Mi360aDm + 452o7ReV8WMnGhup1D85cYt+XDKWLnaRqQfvxZ88uWDS4hq7Gn/DtBlm5XuAvn1lAKDiAxMAuhN5 + kVEF3EVefukF0JTnupXu7kZ/z4iIdNzac9RhdNwEpa422jYlMWmZi27crttn9x/61Uyh4fLtJePB + AwCpfn1kAMha44qnGekfa5bpOW6yBeDsrqgWPhO1tT21+S5WZ1xojEPBsZYO7X3x+pG1JqP6DuRs + IZ5m3uZ5nKR0LabBcHycEGqBjpugCMiAH+MkXbgHOIiJigF8/vyFt/yz4ucASoOV2jSomxm3mAC6 + 6tqcLUNmbfW1EooKCVFRUQD49IkFUCOe7OfFAKCoiF1gOykJQ8elZud2JCYdDtGdxLVd4DdM2a3b + jwBkhw9V4mxSm7Vp91yheJwE+j2DUCvDar5Wp+W8zFwKqm8EH7/DtVV92FCA4pt3eGabpd+7Ww0w + cOCwNgyIlRgf+wLEDYynyACAloqqGEBm+tWa/fdYD69ERUdevl/RhoHwNUJtuBSfeMru38sFUBwk + 397xIKEhM2v5wmEAL6OCz736sVXQN0xu7Lk71SA3aZIhAMBI5SHiAHfSU3gepwdXoiOjLt8n2joa + XgL8nkGo1WGC0vokptg5aolBeUU510YlI4MRANl/H/i3kPNVxrobuD/qDYgbjDNps7++WIXRntvj + yqG/1QJLstV+kqGBODDPHdx/+8cEk6y7odvWbdt16N7HRi8H2GrGGxlJ8cbzIsb3yA2AAePHjm73 + eJDwULV3mN0L2OUV3HOhCvKGYd7a4334HltsnMP8b71izcZNEAfm+SMB3I9TxqGNHru2Hrr/sd0X + 8BPU9wxCbQGbeNqC6u/OlqeXR5Zwb1OzW2oZsTqGvu23BfQFlkYDWNnR/8Yy3rKhv+331AEgLy3q + /ssegyeaazdrERxm3s3oSM4E8pUlDDr9YvoTJht6TXVx/t6vX8JywZIT1w/mRrhYZs6ytRzxy7tv + kYjrLlzQNouODZLpC/Dk5tmd4Z9Gaxiba9fYKTHFzvFE0p7M7/FIlKRF/ZP0tAraLh70s/jeqYvN + va0xN0xeamTmGyll42Y+SQAA8CIrOvLHsJZ3+deuX/4v62UViKstXsGZ5VZi1qJFEddC8sKWW2fM + +HWuxi/vGDGnzj8o5U5iWplMnz4A+ZeOHR5gOHSk9ffZmL9p1PcMQj8HTFDaxPdxktx/+EmYeQdt + KF/lf7Uw6XAAOfk1iPee5hW49seQwBzuGeWbrvTK/l1XeDdKDp7rGehpwXVCreUBm94s8418+iAy + 8EHkt1LD7P02NmF91iaRGTNWS+xGJoPmz4iaKmmuPbDmbtXFu3eWrfEJy6kRj2PQ7raKB/08vnfq + 4t7WiBumxioWzZT9765aw6vFZPUWUfcs5e59P3L5n3+8cfOOLsyKDsmK/haN+oLtW9rq9h2jZyBN + i3mZGLgrUW2xOk+C0qjvGYR+Dh0yQemnNW2yuCz/KaVr6K9pMU28z7fJ1ynKhpMthtYcxkIZbDRt + 8tBhfOd6ByDnsRaX5TN7O8hYuqx6IH7zHXcYEsNsA2Km3EuNvnQl7y3IqEycOodn2HOjI+cfCc+2 + bgNHjDWYPHZM/1rjQeWmbj5raJV4Npn+4PnHbgNHjDWdY6bBXYr3jdcITFp5nMU01ZojFHg+PZ43 + orr4QEifY2fTnn+Ewd3f1f6o5YzdaXFz0i7GJd19/rHbwDEmFubco1ib86NBraDRH3KNB4HfUfzu + mRpX4vMj/kbLed2y5+fygPuEDdwwPI92k5HR8myUUTEwGD9Wd2jtiQrkpmz+18A68Uzyf4znH7sN + HG5kOttsBHc0PI9DzTfb8MfF8+FImHkfOaT675nsd9Cjz5fa30INfc/w+9Zq/jcPQm2ny9evXwUd + Q/O5e3j/9utcXV0dQQfSfjZu2jZUTdVuga2gA0Edx/OSF7/+tuB6WpKgA2lv4Sdoj3If79i2SdCB + oE5KU0svKxNXFKsTdpJFCCGEkNDBBAUhhBBCQgcTFIQQQggJHUxQEEIIISR0MEFBCCGEkNDBBAUh + hBBCQgcTFIQQQggJHUxQEEIIISR0MEFBCCGEkNDBBAUhhBBCQgcTFIQQQggJHUxQEEIIISR0MEFB + CCGEkNARFXQALTJwoNyduxnPS14IOpD2IyYqVlpadjbmvKADQR1HVVXVqFEjO+FNVVpaxmazO+Eb + R0JCW1tL0CEItZ87Qfny+QuDkf3w4SNBB9J+Pnyo7CrStaCwUNCBoI7j06dPXaDLlZSrgg6kvb1n + Mn/5pXcnfONISHz69FnQIQi1nztBefHy1UL7Bbq6OoIOpP1s3LRtqJqq3QJbQQeCOo7nJS9+/W3B + 9bQkQQfS3sJP0B7lPt5B9RV0IKiT0tTSE3QIQg37oCCEEEJI6GCCghBCCCGhgwkKQgghhIQOJigI + IYQQEjqYoCCEEEJI6GCCghBCCCGhgwkKQgghhITOzz0PiiDlxu46+kTDZfUMJUFHUgvzSVrKlf9S + 894B/KJiZjZ3/CgZCa7dBRf8g6+/GTZr90L91rhawXn/Q6mlQ+fusm+V06HOg1V2L/VM4uW8d90G + jjazmGo0RErQEX1TcGHPwdTSbppWXr+Plqi1s54bvoFHDyHUFJigNA+LHn34ZLyko+1qQUdSE/NW + 6OpNIbfesjlb4v8J6DXKcftWtwly37YQT9PiLz9h6+9unUsS+fTLcflsg12tczrUSZRc+3O1++mc + KvLV5ajDB3VWUkMW104IBKAi/0ZcfAEkvVDQPbpYjWdnHTd8Yx49hFBTYBNPc7Aygv1oLwUdRS2s + uwGLVgfeegs9hoz73XnzJs/NrrNG9ZeE8nuH1q4NyGAJOj6EviuL9fM6nVMlJqs3z2OTp9tctV5Q + kb5vy590YbpL2YxDwbFljSmJjx5CbQBrUJqMVRjtuerkE0GHUUtZnI/HodzqXgarQ/faDv/2d+hc + azu7KK8lW5LyDm07ZHJmxUjBxogQAACUXbqYyIRek9af/GvWAACwmjtd7X8zd90+dzFtg+EkQUf3 + AzPp8NFbs9wbmI4cHz2E2gTWoDQN8/ZhZ/tdiZUqasqCDoVH7pmwixUgZ73rx1ckAABIKFp5u5lJ + AeRH/5sosOgQ4va89A0AjDGeNeD7lgFzJxkAMLMe3BdgWDUNUVYCeBlxlFZSfzl89BBqG5igNMWV + XTOXh6ZX9p628U/7wYIOpqabMbHZIGa0eIlh7TZ8mVnWM2VBUkYS6q6uZjISw4O2eHqv3xoUmZbH + rF2AVZaZeITq6b3e0/9Q4r2yeiqtX1zc5+m93pMa3YkWmUbN8ekz123EZLEAoGcPaYGFw2uwnfPs + XsC+ceRgYn1tNC199BBCdcAmnqaoKKuQ1nHd5eekKxUjXCu0F2RnvQTQnTBJhu9uQ4eAMytUVOoY + JFFyaYeLT+zTb90VIS46bJ/Osn0HF/3or/gi1mPB7vjS6m8v4/8JVZ/n/9daYz6d/zIPuG07nAtq + SwO3DW3BG0Id2kiNkb2g4Drt0N3pK0ZLAADr7qlz6QADNNWVBB3bDyKTlrnoxu26fXb/oV/N6mqj + adGjhxCqB9agNIXeiui4g066Qvhlk/PwAYCi2lD+X5IAcnV/RWYGu3rHPq0Sk9WY5bbJ02Op8WBJ + KE8/uNIr9lvNNutugNvu+NJqycHGjus8N6+bpy8rVpVzeuOeuFp/FbLuBu4+klvda9L6QFehGI6B + hNTEJeum9obcMMeJtk6e3k7WUx0P54Ga/R9uwjVWfcDc+VZyAPm0INqLOoq04NFDCNULE5SmkFNU + FNLfup+q2QAiIk2vEGNd+uefXDYMWRSYcHKjo9VcO1e/2LMbzXpBedLhk7cAAMpijh3PrRZXsw85 + 7edmN9fabu3huG2ze0H5xQuXamYoJTEbVx7OAzX7fX/86FuAED9y5v9ba63Wnf3hyY34yzceV7K7 + azp5LxS6rFbC0HmZsRRU3wgOqaOdp9mPHkKoAZigdHJpqSlMkDJfvozrV4PcrI0uugAvE5LpAHDz + zl02SE11dPxRQmKSww7PzZtmcrfhfMoIct1xtbyX8aaAFUL3awYJGVZG0Hxr71O5Xwab2nts8nSb + O1z2U1aQw+zVMXVVVAiMjKWTo5YYlMcFhWYKOhaEOhdMUDq37Mc5TACdUcY1UwqZkZpqAK9LXrEg + M+9xNYCWTs0SKuPnWluZj/5RrZ2xd1VYLhukjMzMcVYq1ICC04G0XLaUiU/4v3tW2FnNddx8PCHU + Xk28IpF68JLQTRqi+ruz5QCAJ2GH/8Gurgi1I0xQOgaZPn0AXpe+rmt/GT0+uZDPN/+nT58BQFSU + t8pjxAAFACgu+T4Qp3YJHhXl5WLi4sC8dPpkblMCR51Q2Y209GpQnuto/aPJVELb0XmKFDDTUlMF + GRpfEoZLlk+SAvbNI4dqTyTX3EcPIdQQTFA6hmGDVQCYRUUFdezPuLxt9XwTn4tNO6uoaKNb1sXU + lgYesldqwuSbqNN6VvYaAAYr1BwXI2GsowXALKjzJhYgGUsXu1HiUBJ1pFb+3TaPHkIIE5SOQmac + ngYA49Llx/z2si5ducaE6n59+/PuofToCQCVH3hTioynjwFAfoA6UKR6AkBlZc0SBRf2rPf0/vsm + Z4OBvevo0U5LZkoBM+nESWysR03HZLEbLiQoavNdrPoDm3EoOLXmLEHNffQQQg3BBKWDULI0NxKH + 7L8PRNXuZZh7KvwSE2CYxVStWoepDe8DkJ52qeZRj++kFwKoqQwBUFJQkgK4dyuNu5aalZkUGRf/ + uEKy5tkkpi5aoiEOBccPNjT5JurMhikMFge4eadmdxNWOuMBgJSSgpKAwqqfhKHjAiNxYCbRop7V + 2NHMRw8h1BBMUDoKGcsFVv2BSd+5dEscV5M3qzDaY9nhe2zoNdXGinddVgAwnDS1P7BvH9hGe/D9 + IObtfZ6hDwGGTTUbAQDjJ0zoBdWJB305SQzz9v5jSdUgp69f61tX9fffLRox+Sbq1CQMx40RA2ZS + yJ6rnES2JGbj9vNMkDIaP16QodVH5rdl9koA1Wyeip5mPnoIoQbg6P0OQ8Jw9RbHdNdDuXHrZyX/ + MUzTYHCvjy/u37j3qgpAXHbSutUWfKeS0l/sMu38lvjrexZMjNWZoCz5/ZBelg4OagAAEmbO6yal + bUxKWDs7Y9TYkQNY+dfSnzBB2mzZfD6Takl8m3wzLuyUvdki1bZ9y+gnJfObi93pO0dzae6WVzTH + afevenoz42FFFUibeSybIsRj1Ec6Oc+O9DpbzrO5mY8eQqh+WIPSgUiMdjtxZMt0RUmoKn94Oy7+ + 8pV7r6pAsv84p+CYPyzrGv0rY7E9bIv1YElyyizykMFzt5/eNun7bwo5yz+oq/V6i1e9updyOe7G + Eyb01l9J3VXHGQfY2tvIATsz/EAMdpZFddByOX7ASV9WrOpl1pX4yzceVlSJ9zbxDKrrphIWEpOW + ueiK89nerEcPIVQvrEFpphFzPDcbdlceJOg4eEgMs9r574y1RVkZdwoIAMm+I8fqqsnU/Jt0kKHL + pgHMvuo/DlK02Hx20opHt28wXlfxPURi9OJDCb+9vPMfvaict8AgI2fPAR/6jPhRWn/xH9sG51dC + 73cA+Kcj4k9Kd+nhJJtXd/5LLawAisL4sWP6Cc2U8AONFm8eUNl/BJ9dA2xX7xO//xL68O5szKOH + EGoKTFCaSWX8XBVBx1AXCRmFMWYKY+raLaNtbqXN56ChRjPqXd5Pqv8YM6vaZ5UZbT53dK0rWNe+ + AkK8pPqNMbOu804VmHpvYBUjqzof/QYePYRQU2ATD0IIIYSEDiYoCCGEEBI6mKAghBBCSOhggoIQ + QgghoYMJCkIIIYSEDiYoCCGEEBI6mKAghBBCSOhggoIQQgghoYMJCkIIIYSEDiYoCCGEEBI6mKAg + hBBCSOhggoIQQgghodPl69evgo6h+XZT/8rJeSAi0omWPJToJllBVEiI81nyHaFmk5SQqGKxBB1F + e/v8+RN07SLSRUTQgaBO6n3F+3//PSHoKITXT/+rfdasGQrygwQdRfuJiT2vPVJTW1tL0IGgjqO0 + rCzin8gVy5wFHUh7S6Pf+FhVNXnSREEHgjopqt8eQYcg1H7uBKW0tMx0orGuro6gA2k/MecudOve + rVO9ZdTWnpe82L5jdye8qR4+yn2U+7gTvnEkJB4+yhV0CEIN+6AghBBCSOhggoIQQgghoYMJCkII + IYSEDiYoCCGEEBI6mKAghBBCSOhggoIQQgghoYMJCkIIIYSEDiYoTcN6GL3VwXKcrp6mlp6usZVL + 4JVC4Zh+M2aNnqZWrf/0zczmuYcmNzlGVuGV0NULxuvraWrp6U5dsjX6IecMZf+46mjpabrQyuo6 + +NafU7X0NO2PFZAvmYx/ty4xNzDU1NLTMbBddehqSXPeH4m+00xPU0tvqt9NPjszg2Zr6WmuieV7 + 5P3A3zS19FbHkK9e0FwMNbX0xm9K4vPJ5B6z09XTNHSPqfMdotbAKrwS6EreGLrGC7wiGUxBR8RB + 3i069sce89mZGTBHT1PLPabWDlbhf2Fbl8wwnqCppaepNWH8PPeA+IfC8fWA0E8JE5QmYGUEzbfb + FXnvBZMNAFBVXkw/vH6BV2wLfuO2saqKVw+vBq5e4hnzoglHvYj1tF8fmJxbXgUAUPUyK3LrkvmB + d8mvWplJBjoAkH43rY6v3vs3b5YAjBhvpAQArLsBi5y3RWc9/1ANAOwPT5KC3Oetae4nduu/q28A + AEqS0vhlKI0nZ7vMTk0cymNCQjJ5dr2ICgq/xxYbt2KNpUyLroHq9SLGa4nb4ZvkjVFVnnt+u/NM + D+F6lNiZ4QcanaWWXNoyx9rNLzqrkHxsoKr84dVDnkvMhexNIfQTwQSl8TJDtoXlsiXVF/ieod/K + ykw+42+v0wvKk/YGXRKSP5OUHMNuZWVy/UePPbZOvxdUJO7Y+U9jv2lZl4L2JpaLyU/1OJGcmpWZ + mnLQSadXde7hvcfJOQ9lpkwcB8BOv5PK9/DMlOQCgGGmxqoA8Dhs76Hc6l469n9FJ2dl3roR7TN7 + kFizP7H7N2+WgNIoLSl4cfXarWacgIvWojVW/QEKjv9Z46/ksgsBe68yxdXsVtjKtewCqD6Pj3pv + S6oAcRVb/1M3Mm/diPa1VxcpvRiw94JQVVsxEw8eoTfiVmVlBLl6xxWzpXUWbDp2MTkr81YW/dRB + V0NZ8erSi7tdD/BmwQihxsAEpdEyU5PzQdxgxQH3ySpSACClMnlFiLe5FDAvJlwUkgyFl1T/MXb+ + PjOkgH0z8VLjvvpZF+MvMUFu7maqtZaMBICEjMHSP110AR5eTMwGAACZcXoaAMzEa0l8Di+4ezsf + YMSEiWoAkBkf9xDE9V39VkweIgUAUkNmbt9g2ReYFxP5HduAzJTkApBSt7PSEYeXiVdbVocCEoaO + LtN6ATszPCD6e/USix4SmFQO/a1WLRrZsrOjemXGn2OwQcrE688Nk1WkAKSGTHYPWmcmVRF/8gy/ + VhXBeRFzPLrB2sebAV5huWxps23hf7vPGNNfCgBASsVo6Z6TXoZSUJ177HCj/zxACP2ACUpjsZ48 + eQKgM2ESd8W/xJQxYwHYT4seCSyuBklM0dMBgNelzxpXfNae27eyEtbqc22TGaamSC79CgAASqbj + RwEwr6fTax1dkJx6D2DIOF1VAAAttzO3sm4H/sb9kY1RUQdgf/rU5PeRe/t6PoDmUB2j0Tqt0MoD + IGOxykVXHJgp+/7P3r3HxZS/DwB/9kczYdZmK7qgCyWK0kVSCaUIja2wUblUoq1cVmQltxBZtyyS + WIUWlQolFaKLSioq6aJCFzS2bSeaie/+/uiiqZnMJHNOPO+XP3TOmXOeU5/PzDOf2wlIYAEAPDxx + KLQaxOirftWnfua5UVcYBXlPASRn/GzZrplK3MJ6jgTkZ98nzWf5FLq5GDSlHW8pHrywblyLqAaa + sbsXvWOrm4yly1JVEODrAUKoHUxQ+EW1PPAoN+OETS8cmCAvN+LzTlB8P6sCoE+f1kdLyhsYqgK8 + vp/VsemakZaRByA/bTLPhy2zknLuA1D6CvyUyvLk1HwAjQl64uJ6E9WhB3p5AGRsljsoi0BdzJET + uVB02i+4HGj6q1caY3ryZb1gvAKAcSr6nJu1lUcBPC7IJiQmLvpqObvotRYP3hITEpkgMcfKgttb + g5LpDF2lWevd5/TC9w2EiIYJyufJKC4AgCHiskQH0pXyitJuv5bFyA3buOpEIYC8kb5q61aliXry + AOWZWeUcBzNu3EoDUJw8hXt+wiyJP+K88zoTaJP1dbkewVv5zdt5ACqGBvIA8tOmqEEP9PIAgLrD + aroMQGnwoaU+J3PYIhoOLlY4+IRITGYD0SF8JG2zzFIGoDT4RCjPfp4HTwqbgKKuoc99t7y9f8Qu + azXalwoRoa8YJiifg3Uj6loViBhMMyXx9yNGVFIWAG3saJ6tGrwkeE8bO2Gm7Y7E52zRMc6ezu1O + MG7y5BEAORlp7VuuGYmpWQAjphl2HsBRfmrZWPVpP60LzqoTkTBb7SHoDJnyW7dyARQnTFQGAJDX + 0hzRI708AFR9h1VmA4Gddz+3CRRtPJYpffYp0af8MOB7AKhllHNuzn/2jNtmQk1Y4mJMA3bm0WPc + ZqQDALx4VgEwVHaocONC6FuACUr3VUV57bjKBGm6LVknpDJr7l9a77g9kQlik40MBX0165+61pUp + RIdIi/zL0YuurqMv3WGyMSv53gM2j/6dN/X/tPyP8r30gPf/CDiomJF2P6d96qNuOE2xZ3p5AMTN + f7HTAAAAw0VLcGysMMiPGScNkBt7ObtdOWClXL/+HAD+bfiH5wsJIE5fYafBfUY6QujLwgSlm1jZ + R9x8kupAymbzKtIMqSwPtOdYqE3PzGV7XCWb8uPs9W4mggc5ZUvz3OCd9oovE4/8xjlbUnfSFAlg + p96+3voZw7qdnt4E0rq63FpqlO1O3M/NuH/zhIcePInwXb45RpBBg4wbSZkAUvofT62uO0mqh3p5 + 4OG1uAIAALh7LugBSadjfWV0585Xo0D5qdVuh+NLmADM0vjDK7eE/kujtR/qRBLKC1c0z0g/Foor + miAkTJigdEt1tOfq4CK2iLLjVjLP+KAM+HH0NPtdf4btntWNNh6quHjz3ODmKaBNRecuJ7TbrW84 + ZTA03ctKbvn5btotJsgYG3AdXUITb56ykz+3sQAAIABJREFUrGF/eM9iRaiLC4sq5zsQRuKdLABJ + g0kTPm7TnWQwuEd6eYpO+50uYdNGKksDPL144By5Zrl+rZQWuS5WFoG6nMB1C/XUJ+hZbgp8NNjp + V/oQgOGyqp9+vVBR9Z0cTWjATgv9k59FURBCPQQTFMFVR6+33ZNQJyJhtsHfTZNM6UnHhdqyUq9f + POA6R/WzR+iJW0zXFwFmQUH7NhR9rUm0j5ONE+7cYYKUidEnR7+qm0wfCZD3iO8Gc1ZyZgYb4HXY + ivar+LuEvQLOXh4VmWEA8P69IJ8hzevGgszcVSfcjMWgKSfoaLggi+6ibqJqup8N2mo5VkoUAETF + VMy8/zzhTq15DiIiJGtAAQAQt3BxUKNATXjA+U4JrJyCIsDTijJer80PXOF0JL6UPOv4I9RrYIIi + oOq4zY57YmubxIw3nNtrIUN0OEIzdJgsQHlZefttxkbGtNbJxikZqcwOjRy8jJGVBYCyZ3xmKKzr + Salsnnvb9fJQ+/YFgOdVXNekefG8EmDY8OGcp04IOJjEBDFzD3dd8VnuLnoiwEw5yHM4JOpRVBWr + LUHx6RmPcu/cvbBjniqtoKKCDXLy8kQHxo3SIgcr6ebF7zukGupjxtIA8vM7LwoEAACMhzkpGcGe + AXe+fIwIfW0wQREE68Fh9+2RL5rEjD3P7v5Ks5NrG7XUJxj7dni7ZRUXlwPIK8hzbDU0MKA1TzZO + SUl8DYNN9DnmWuYft+L2WMEHZU8BQGE4f7OKmoe2UIx8MjhX8c/NePS7OY2jl2eEkjLAi4oyLvlF + bklxE4CUVPsEhZXy+76YOqBNWeVsQgUAaZuVNiMA6qIO/Y4t+QQov3evBGjKymTr4WlG1XdeaUQD + ZsKx83kfOPYYGhjQoPZKeDS3YVXF4VcyAUQmaAs6rx4hhAmKAFgPTuw7U9REUbY/tNtSjkxdOz1p + 4jgtgFdX4jiellN0PjINgKaiosJxLNVIeyIFcjLSUh7cfwUSxoaca0GojtWSBEiLDS9qt5GVePny + cwCV0aP5CoeVlHKLCaCladD5F26oN5XWvpdHVXk0DdipYZ3GkbBS4q49BVAdr91uKM7DoD/Cq4Gi + bufetp6pupOHjRRwb8lHPejBAYsJY3Xc2i8Az0q5eCEXaFP0BJ5tJizidFsbRYDqzLQKju1U01mW + 0sBMPOzT8ZGcrIqwo6fzAWjGP5F1oh9CZIYJCt8YUYHBJWyKzrrDrqQaeNLDxE1nGdOAeX3HypOp + DBYAi5ET4rbyZA4bRvxsZdrhxqlmUwxFICv+eFo5SE4x6rhWlf5sujxAYcCardGlTABglsb7LdkW + WQc0Y2srZb7CuZuczATQM+K20gx1iq6uSPteHhMryxHQlHNo5RL/+CIGCwCAWXP/6k77tWFVIKI3 + Z9bHRU6ax8aCvO2ahe1WPmkdDpl70o/3ylzos2lOMpQCdrq/V0huaxlb/VtYFWWk3XwzEtctdedf + zMW4bNdd5mYsBvUJ3nZL/K7er2ECAIuRc8XPzXZHChNE9FxXdqw4CCE+kHBAGkmVR11PZgNA5q4Z + E3Z13Gnkk+tHJyCoL0Gc7rH6dpZPQtYJ52kn2raKaa30Xt65U4ZqMFGTkpiekw80ulbntTTHLfd0 + uuMWWBSzyTJmU+tGylDzbR5c1wXvLDEpkQmgNlGP6+HUGUaTticmVSUmp3vo6gKAupO3c4ZzQGHW + yU1WJ9sfKSJhtmHrxwcUt4yNFaM7u4zn/OgQt/Bwjbvjm5l2/HCM6W5z/N77ZejaOppc9UlI9bed + 5t+6TXSM8zoHgVcTFCqqibOLXvyutKYO28VnbT30krHqUE7W2e1Lz25vt0d0jO2OrfhkbIS6BVtQ + +JWbl0d0CMIibXHg2invWcpiogAAomLKxq57zh5byrXdSNx4khYAAM1ksjGX3VRN97PnDzvqyg4Q + AQDKAGkNy/VnLmw15fMdOyUrlQmgqKktz30/1UBnAqV9Lw9V0yUwLMDNWmNYc/BA6S+hYuS0M+BK + uxHNLWNjeTx2R8Zm+WJFgLrEQ4E4FOWLkbbwDd7jpCdNowCACE1W1+lASLAL+ZsmWwYqdULVXHYi + 9vz69gVPVsPCIyAk2MPo6xyshtCX991///1HdAzd57F+0/x5ljo6WkQHIjxe3ttHKSvZ2doQHQj6 + elRWVc+bb5uanEh0IMIWcjb0SVGxz3ZvogNB36ix6hMe5fbAethfK2xBQQghhBDp4BiUb8hD//mL + TpZ3eYhQB9NErZ3g1fWXdkX7c5dd8fk4CCH0DcIE5Rsyzu3iIzeig2iHvj/jaxlZjBBCqIdhFw9C + CCGESAcTFIQQQgiRDiYoCCGEECIdTFAQQgghRDqYoCCEEEKIdDBBQQghhBDpYIKCEEIIIdLBBAUh + hBBCpIMJCkIIIYRIp3evJCshIf7s+YvvB35PdCDC8+OgQcyGhsInRUQHgr4ebxsahg8f9i0Wqv/g + /fv33+KNI3KQl5cjOgRS691PM96xc09ycirRUQgVlUppfNf43f9h0xfqMf/973/yCvIVFc+IDkTY + /vvf/378Ufzvur+JDgR9o95/+JB44yrRUZBX725Bqf+n3me7t46OFtGBCI+X9/ZRykp2tjZEB4K+ + HpVV1fPm26Ymd/3kxq9QyNnQJ0XFPtu9iQ4EfaPGqk8gOgRSwy/iCCGEECIdTFAQQgghRDqYoCCE + EEKIdDBBQQghhBDpYIKCEEIIIdLBBAUhhBBCpIMJCkIIIYRIp3evg0IIZs39eynP6mCg0hRDdXEq + 0eG0KLkbkfOqv+KUGZriPI8Iy33daetAeU01FQUpGq/zshjPHmVnVNQDDJTXn6jN+0BeGNnXbz19 + y2XHD8O1urgys+b+vdTyfwBEB6toaahxHMZ4cD3paUNXF6UpGs0Yz+sXgYhWcjcsFzSsDEd23tXy + d6dKqU3UGUVY7WoutKLD9WbrSHfe+eB60tMGSe7x86fkbkTOq05bO9cIRvb122XMweOsu38phHox + TFAEwXpwyvW3PzLesJt/3C46xnbHAQ8jGWKjAgCA/Mu+2xLlnUbyTlDyo7dtT+K6hyKh9cu+g8vG + d/g4qE7y3+VzNr2msW2LCG2s5a6drlPlBPjgqEw+te1kOY+dIhJGrkf9bEZznK86ye83z4v5THa7 + 6yrN3Py7h3nLdV8kB/gGPu3qoiMcR2CCQlasG6e3bLuq5dPpA77qzu9rPC4UtJY3Ma2Vh44t1SQi + SWkptDSjD9F+9I7lqLn4GXWOn3/5l323cV8VT0RiguPvR1rv+kXK8e3BpcZemKCgbxMmKPxjxGxe + fyCjHkSHaEwcJwM1D+49Kjj7m9uAo+Eu6kTHxi+akt7kke1bI+rK0h49rs06sNpL/C8/+sevi9VR + a+28EusBRMVUxk5SEIO6p3eySpmPLrjb1/hwHMkXmfHTNaQ4tvxdlp5dWF+bdGD1geHRnvqtH0Os + FL9f1p59wab0l9XQUpcWhXc1uZmFlcXRG+z/aWq57g+K+tPNR7WeiPn0zt1SprS6ucbgtpNLKv4g + WHxIWFgph36/yuSyozp0668XCtgiNCWNybKND+49qsk6tmrzkMi95oRlmsyko4EpMz4Wzp5FUzLU + U+KoixWp2UW1GcdWbRS/sN9CBgB+UDCYOX2UypAvcn2ESA8TFL4VXQ6OqwfFn0+FrNWhAQCwKsLW + Ldx7+1z4DQd1U7J09XzCEKNle9w6pFPNuUjS0bPpdA9dAABgPfD/dXtiPWWo+Y6jG83b2kuqk3a5 + /xZalLR9W6jOcRuB2o3G/LRzD73jxqo7e91+DSsK/yvKSX9+86dQUYj/2RdsMaOtwT5WbddlVYRv + dNiamLTvxG36likA8rPX7ZzddpbcI3PvljLHzNnjayFIRIgI1dGev4VVcdtz60RQGltE2dH/vJsm + FQCqo9f87JMQd/yUtbkHcauBV4UHnbPUX6b8Jc4tMcVpp3vHuhi95mefhMST5zIsPCYAyM9a5zvr + S1wboV4BB8ny6+/K/8nNnO78y2Kd1i89VDlrujENmIWFhYRG9rmk6St+VgWoysguaN7AiAoMLmHT + 9H87udW8fW+OtNFvOx01KMBOCz2X0QMXlpm8yt5QBNj5j7JbtjCysvMBNBb/YtX+ulQ5q03LDShQ + dzcjpQcui4jBKgxd+bNPwtuBYlzGHSXevFEPkrPcl7d26Uhb+K41okBNSnqucMP8SExsILDzTp9N + ZAntktIWLrYqADX37ucL7ZoIkRYmKPwaNHX5Ht+driZf48gGZQUlGsD79+8BAKA44moyG1SXuFh1 + 7sdRXmhnSgOoK336oicuTFVQkAVgMltHvFbWvgYAMbFOFxafMGvBdHNtKWzx66UYCT4/2R1Irhto + 4rV53uBOu7PzsplAm6Rr1D4vnaE3CaA080G58MLkoO3ooEeBuqiAAH5yJGZNXvK1sPCIq8lPGJ+R + 0SgpKNIAPnx4DwDAyL4eHhF2t6R5FyP7elj49QcMAGC+vJ8QFh6RcL+GW28ZQl8JfMP/DNURUYlM + gNGSQ4mO5HOJ9uvX9n/G/axCADXT6UrcjqQaWXvsWmg4R1Xg6TzcsGpqagGGDR/e8vMoWVmA8vu3 + r1TMsuYcicvZrYN6m8rHD5//n4q9/x6Pya8O/9lp97MXFQDKUpzDlKjyinJwu7SiBEBeOFF2MNDG + 1f5y2snyM8dCrbvq02Q9jvDZsCeurG04uaiKvd8ej8kCDtRq1q9//7b/cw6SrUw+te0kOCkOvue6 + +ljrWGLRMcsC/lxByFBihL40bEHpBsaD6xEh/h4Wc31vM0GMbmnZ21tVGM/KXwN8P2AgAEBOwSMA + SZVR8tyPpY6f2UPZCbCyAwNuMEFsvMb41pMbTTWhATNpr7WFw7aT+P3w6zFQc2VoYjCvz+yCykoA + GC6ryrmZSqUCMBu6nFH+ZY1baGtCA3Za0LEEnq0iVVFey7fFlTWK0JR0zGcaakiJQmNh8K+/Hs7u + TkMK41nFK4DvB/Aa5c1M2rX+WMn/KelNN9cbQaNAY8GpjYfTu3EhhMgPW1C6ISVkg28CAAAMMlwb + sMm4l397qb6xPzQNYISOpjwAQAOTCTC4//c9e41HEWHv22/4++mdO7Gpj2vZA028HKa2bRa38Fif + luOTWFvzKMz/UZg/UAZIjzGaTp81Y4bByJ5JixAR5PWndrH3/Yf33Daryg4DKGIwyolqQgEQt3Bx + iLxzKC/yj8B5Jq7juByRfu5YUh0MNNkS5GvZ3OrHzDy4fMXpkkC/kJnnHbm2Q/JUfWP/mUwAeR0t + eR5H1BaV63iE7beXowJAVZTHAu+kqtTMh6DLLTaEejdMUAT34t0PetPNxeqK7+QU3/V32dz/3F4L + MiyFwo9/SzLCwks//vz30xtXo9PKGoGmb2f9BSdL51/y7TTqT4SmZOTmvnY557dqGfruKyOvnjp5 + LjS5lMkGdkN1TkxwTkzwHgWLbYc8zAVZggV9FZjMf4i8vNIiB6uLa0Kfhh4JtTph06kFKCXpejVQ + 9Bw8LNuKJk1n9TbnjEX++Tdicx2VeNYqZkl6RFjJx5//Lk28Ep5Z1gg0o5+7qIuDrRbat15Khj5j + yt6kyBeVLwAwQUFfH0xQBDd0wdbjCwAAWIXBq1z84vbs0NY/Nr93dPO8uh247XanraIq9rvXcxkS + 23M+roNSV5GaXVTXCGLGG1oWe+iEpjrb/cBsdxajKPNeUmpMbGROcUNTY1n0BmfKD1Hrv9CqFIis + +vYl9l2Kqu+80uiKd1La8YAEy60mnMWv/EnhKwA9I1POkqykPWEY5JeXlQPwTDVqb/3he6vTVtEx + C3w3WnbxhWeMsna7nyQkBwM8fd/E150g1MtggvIZqCr2axfGzDuRfPMGY75Nr8hQOi7U1k9aTd/A + 3FCj3aLiA2g0gH/f/svrFKyKkhqpkQK2ZHCsg9Ky2MMeN/+hLYtecEUVVzaYpWwwy2k9q+LmobUb + woqqo8Kur9KnY4byjcivfA4Ash2HpgidOH25U3jqgdz4M+cWmSzj2FXfwASAAf071n5NBUWA52XP + cnlnKB0XausnO9rAcCZHXeSmbx8s/+hbgQnK51EePU4S8kueFwLoEx0LP7gt1NbBCIURALkVzxig + zzXnun/BefXNIU7bzi/X7WYQ0ha+B8sWLDlXdNL3qEHImo5L7HdGlZu23nd5nuWRwrJnhV18J0W9 + VPPsrdqOg03ev38PQOkrQlBU7SgtcqZfcAnLCToRRbftoXNyW6gNIdQOzuLhV8ndiNZFCDp59/ad + sMP5cuS1deQBcu4kcr1VSE9NfsV+8/IN11GN/KKOX+FKHwhQfmp74MOPm0uSwyPCrmdzvbCStpbc + 51wSkRh1iPRggKpXlRxbWaXFTwHk5eSJCYoDVd/BxZgGzKSjgQUf2m3v26cvALz/0HHCTvO8JIXh + mIAg1H2YoPDrZcrJbdt3BHb42C56/PA1gIxUbxkky49xZqaq0JR8Kiil8zRJRvRfkTUAUjOmfWaD + EdVkpYMeBeBpxOmotl9pRdx+322bzydzm57JKCyq6Gr6JerNtEeOAXiVnt0uWwVWUs59gMFaY8cQ + FlZ74vQVC1UBqsKDIto9iHiMsjIN4H7Wbc4yy8h9WNJ+gR+EUDdggsIvfe0JNGhKDj37oO2tiFVx + 6cj5fABVU2NyvIf2EOWfbIxpUB3muTr0cfv3XdaDUx6HE5hAUf9p7uc/HkXacrGVFAAz4difrcs4 + GBhOoQE7ad/GiIoOOUp1tM/xTAAVQwP5z74wIh+qkeEkEXgaERhR3bKF9SAgMJEJtEnapOk7VbZz + pA8Edn1d+9V5DPWm0oB5Nehw5setVVG+R9MApCdNGt/5LAghfuEYFL6ZLHJSjz+Qe87Jsnzxz4Yy + UJUcfjGxrBHEzB3tBVvs4IvpOHGxxeBxAj6uXZzusfp2lk9C6gHbqdFac01MRwx6V5V2OTS1uKEJ + KCMdfl3aEzdM1XeyNQjfl1wdERS6UNdGGoBqunipVoJ/VqLv7MmnNaaZmWrL9IO3VfdvXbn5qKZR + RNlx9eIv8tg2RDhxui09KDXs9u6lCx/Ns1SDrJAzV8uaKOqO9iZEh/YR1WSlg17sgTR2+21mSx0u + xB3KC3axzp49z1KNWpUcFXKzohFE9BYv7O4oLYQQACYoglBatsf7+cqdYWUpgftaHlpHkTD+7dRG + E7IMq+c+cRFal8oWgLSFb3Bf31W+YWWlaedK01o3UyT01/3ha6PyuYG2EJ+/0j4s+WT5xwmcynYB + ZwZs9Tx4texlTkxwTkzboaIKlpv8u5jyg3o5qv6q3atKVh3KeRQR8CgCAIAiYey9p0dS4Z4j3bL4 + ffttSst27mdsWHe2sC1yANExjvv2d140BSEkCExQBCFttiVS3yrhQkzCUwYMGmliYvnJSYHCIjVu + ujmFxz6VIQAAUmPNZ1IkFfkdw0GVM98SOXlRctKt1NSSNwD9pHWMu7Oc60BFPfOZSqOluO8dt3zV + 6sqYIvhfWcbfYDgIAKgqlrsjTVffv3cj7V5e5TuAfrKqEydNn6gtxePKPygYzJw+qvkeUS/wg6L+ + dPNRozqVCKrmshOxRskR4bH5b/rJak8xJ27x4C4KbWuJbR+/tJFH8C3L5OsxtzMr3/WT1TQxNzMY + 0VXoUuOmm1MkPl0XOcs2t6h4/TIR+hp8999//xEdQ/d5rN80f56ljo4W0YEIj5f39lHKSna2NkQH + gr4elVXV8+bbpiYnEh2IsIWcDX1SVOyz3ZvoQNA3aqz6hEe5GURHQV7YgoK6q+RuWO7rLo/orzhl + hmavWMAOIYQQyWCCgrqLUZaZ+aTLIyREtTBBQQgh1B2YoKDu0rXfg7MUEEIIfRm4DgpCCCGESAcT + FIQQQgiRDiYoCCGEECIdTFAQQgghRDqYoCCEEEKIdDBBQQghhBDpYIKCEEIIIdLBBAUhhBBCpIMJ + CkIIIYRIp3c/LPDg4aNv3ryhDRhAdCDC8+YNA777vx8HDSI6EPT1YLPZtbVvZGS+uWfiMhsa/q3/ + V1r6m7txRBKPHz85feo40VGQV29f6v6/wYMlfxg4kOgwhOfvun9oA/rjWyrqQSwW69mz599goSou + Lh0kJvYN3jgiiceFXT/O7FvXuxOUyhdV8+dZ6uhoER2I8Hh5bx8+fJidrQ3RgaCvR2VV9anTIScC + jhAdiLCFnA19UlSMtQkRZa/fAaJDIDUcg4IQQggh0sEEBSGEEEKkgwkKQgghhEgHExSEEEIIkQ4m + KAghhBAiHUxQEEIIIUQ6mKAghBBCiHQwQem26otrZplM94kjOo5mcdtmmUyftfhELtedW6fPMnE4 + XdBpB4uRc8XfZ/mCWSbTZ5ks+GVvSHIJ84uHir55jHCPWSbbeFWdrvcKQ0HQCpPps2ZvjmFw2Zkf + 5MCj4rMYuVePbF1hazJ9lsl02+V7Q5JLsToh1H29e6E2ArESAvxvvq6DfxqJjqRZ4z+vX76Cl4En + Quf8YSPdYSfrn1evX9Ia3nNufBzq6bI/pZbduuHV65DCzAt/av2y7+Cy8VRhBI2+SazssyE3Xr80 + ZnVjr3C8f/vm5avXEH08YIbxb/od6sL7hjevX77qWPFZhaHrfjlyu7apdcPrl+eK0i6d0125649l + mlidEOoGbEHpntyAP2LqiA6CC3bm0WOJ/Ly1V0V5LfdNqYUfx1o6Hwi6EB154fROe6OhIuzarAOr + vaKqv3ik6BvFehCw62Jp9/YKW014wPlifg6sjvZ0PnC7FiTULNx/PxodeSE6yNtpsiyF/Sb90HpP + rE4IdQsmKN1RFXrizFOig+ChLurMmaJPHVR0eoNPUh1l5LKjYee3OJhoKygoKGjPdj0S4e+kLAJ1 + Sfv4y3IQEgyrMHT1+sCipu7sJQI7N+T0jU9WheJTG/Yk1IkoLz1w5ZyXU2t1cvf/K9BxJAXqE/YH + JGB1QkhwmKAIjpV47HgmqJvPUSQ6ko6G6elJARSePh7Nre/8o/SoyzlsEY2V29bo0Dh2UDWdV9Nl + AOpir1/Ht1TUs6qT/JYs35X6TtlYX07QvUTQ1tOhAPPKscCHXR+XEX0ht4mi7ui7ekLH6rT8Fytp + gLr4a1idEBIcJigCe3giILJOysrZgnyPQO2jZudoQgNm4smAlC7eEBOvRtYAzdhukVLnfVR9s1mK + ogrT9ZS/XJjoW5R/1N0juODDMLq3/+IRnca+db2XGGIzly9WBHgaeiS0qz6ahKvXqoBmZruQa3Wa + PUteVGGawagvFiVCXy9MUARUHXokuJxm7Ois34foULihWbgsUflE33l+cQETQEvDiPvIPXXnv+Kj + d1mOxnF9qCe9f99XbvaWoMvbzWQE3ksUdeeVM2jQlHa8iz6a/KLHTAB1LR7VadzykOTIHVYqWJ0Q + EhgmKAJhJRwLSgM1pxUW4kSHwouS/eK5YsDODTkaxaOfp6S0CGCE0gheb5lUKr6Zoh6n4vznpd2W + vD6ou95LGKqpnZO6CNTFHOE+gR8ASouLABRHKGF1QqinYYIiiNzAI1H1MlYOi8jc/0E1XrlChwLM + hGNBXfXzICRUXX9Qk/ZjXGmRM10GoDT4RJf9PAihnocJCv+qQ4+FltKMXJw6LoxANjI2yxcrAlRH + HT/H1xxJhBAvVH0HF2Ma/xP4EUI9BRMUfrESAo6mgYbDcjppe3c+Unf+xVwMmnKCQj49RxIh1BVx + +go7DQp/E/gRQj0HExQ+5Qb8EVMnTV/BbeYLCVFNnF30RIB5/WjnvvPhQ+UAnj+v5PXa8vADh29W + YGKDUAvlhSuseE3gHzpcDuBF5Qtery2P2Od/C6sTQt2ACQp/rv115ilQ6m9umT3LZHrzvw2hLwAg + fd90Ej2Rpx1pm5U2I7j2nY9RUKIAu/gpj9UdWLkpYYFr5nlGffkYEeodqPpObRP4P3DuUlUcKQLs + 0hIeg2hZuWmhJzfM3hj95YNE6GuDCQp/3r9nA7Ab3rx89br13xsmGwAa615xeTAHKag7udIHAjvz + 6LEHHE/hoerraYvA0xtXuY6hZURdv9sEoDZWXUhhItQLiFu4OKhRoCY8ILqGYwfVYKImBcqvxfKq + TqlsAA21ccIJE6GvCSYo/DH+NTryAue/vQvlAEBvfeSF6MhfjYkOkBuqie0iDQrURcXc5tguTv/J + mAY14QcDH3R8T2WlBJ5NZgNl0gy6vLDCRKg3UFq0yFwM2LkxVzgfcyFOn21Gg6rwPwKyO1enoKDU + JqDozsHqhJDgMEHhD01KoaNBA/oAAGWggoKCghTtk2cgREvfeUfNqzuwi4Kdl/we3/ZEeGZJvO9S + 99AaAHnbXjEUGCFhapnA33m72VIHNQq7JHCFk198SbvqtHfh6rAqgBGLlmJ1QqgbSLKoNPpCqPpO + jiZXfBKYHbYrLduzodB2T2zBhbWWF0TFJH+gfGioa+60Gqi1ytNlPMlnUiNEABmb5YsvZgZ2elCo + 0rKd3oVLt8cVBq9bGCw6cMhA6oeGutqGJgAQ01rpvVITqxNC3YAtKF+7lr7zTqQt9kYFeM8aQaNA + Y13zkBoRmpKRm3/In8vw/RQhrpon8HcmTd8bdmazmdIAEWisf/nqdW1DE2XACGNXvwunlmJ1Qqh7 + sAWl21QWHbow50M/SaLjaGa8/sI4tz4DuT3IRGmR3+Wp9R8676SpzdsVOm8X82XZ67cAfQbKDBfH + t1L05anYHI6cxbPqdL1XKEYt3Bc9+0N/biFQTdaFRS5+C53jo6lZ74iw3sGsKXv9DqsTQj0AE5Ru + o4oPVyBPzzJNSoHnOJhPREobwvulCPW8rgskCSpWlyF8or50VRMRQoLALh6EEEIIkQ4mKAghhBAi + HUxQEEIIIUQ6mKAghBBCiHQwQUEIIYQQ6WCCghBCCCHSwQQFIYQQQqSDCQpCCCGESAcTFIQQQgiR + DiYoCCGEECIdTFAQQgghRDqYoCBCkRCaAAAgAElEQVSEEEKIdL7777//iI6h+zzWb7oeF090FEI1 + eMjgVy9fER0F+tpoa2vev/+A6CgIMGTI4JdYoRBxHuVmEB0CefX6pxn/eTpAWWkk0VEIj8+uveM1 + 1GeZmxEdCPp6VNe8/MV1TWpyItGBCNuFi+E1NS9XubsQHQj6RpnOoBMdAqn1+gTlfx/+9/333xMd + hfCIiIg0NTV9U7eMvrT6f5kNDW+/wUJFpVIbWaxv8MYRSTCZTKJDIDUcg4IQQggh0sEEBSGEEEKk + gwkKQgghhEgHExSEEEIIkQ4mKAghhBAiHUxQEEIIIUQ6vX6asXAxX5a9fttpa39JhSE0AqLhhVlT + 9vodQJ+BMsPFqZ128n0LLMazqvoP/SQVpPi9uZYLA/B8UfM5OWJj1pS9fsc91taj+Yuh5eqCBIwI + wawpew28/kzNf0buBYIwXMpt591d7mwrlq2n4kCy20WIHDBBEUT5pXVzj+V02myyPeMAKZbbYVXE + +Hsdis6paWzZIDpEw3zpb56Woz++9930neuTwO3FolK6dpt+c58s3bqh8Nwqp8CnRj65fvzeXOLv + Ft5JAADqK68EL5XnEmCcj5VPAhtA0f7cZddxzS/au8ArUd4p+KK7+scDmZkhXnuC7ha/ZTf/TOmv + ZGC7ar2jkTSXk1bcDDlw9GyHg91W202Vw7d8Mko/ttzx7KjO5YqZeXKdV3BKa+kVVTDz9PWyUiHy + j8iquHXmYFBIalFda5UC0SH6tms3LucoXE/Or1t0shykrY9HrdfvGG+HetT8Y+dLiUppmK7Y6EHs + /SJEKtjFI4iSihIAUTHJIYM5/v0gSnRgAABQfGGd7caLOTWNlAE/DhksOUSiP6XxZU6Er63tkQcs + zkMp/SU4b0FMFBpr0gN//fVwNov72QWSez+NwW373Qf32J9+NStl33wX/8Tit2zRgUMGSw4ZPFCU + /bb45gnXnz2iqjscy8z0d7JecyKx+C27+aYk+lPYb4tvnnCf73S0R+4F9ayi00cu1nTezMo+stjl + REpL6f2RRoHGsritzl6d/uLCw8w8Yj9/g//NorpGEZpESzWBxpcpJzdYdq5TAFAddfxcMX/nFhVr + XwEl+lOgsSYneqvd0p6pgAh9FbAFRQDlz54xQWTm+mt7ZxEdSmeMi/v3pddRRtrs2f3rtJZvd6yK + W7+v8wotCt5+wjDSrV0DxVDrQ60NGK2YmQeXrzhdEugXMvO8o9JnBEKj0ZjMnIxklg2943fBlPsZ + TIoIhd3UZZaSfnjHxefsgQbrDvrZqbX0AjDzgj1W+6Um+fpFG+y3EG89tPiU+4qThWxRudkem9bN + 0WhuJGcxcqL3bPONKzy22kv2Lz86l0YXRBDWg8ObTuZw+fOXX/APLWIP1HLddcRpAg0+/sWPnk2n + e+gKPVCA6tC1LsEFbFGFWe47fp2j3tohmRe2c/2exOdFwat2jk7YbsxZxJtygk5E0f3o4txOyEF3 + XTxnAxIz79L2zb5xJYGrd4+5sdUEm1EQwhYUgVS+qgGQHTqU6Di4YSWlJbNhhP2G36Z9bHumyk39 + bedSVYDSm3cffuIENJ3Vq36WBshPvVv+WZEM0dUaAU33spI77UlPvV0LWhpaXb8++15SNVCM3Q+2 + ZScAQFOzP+gxhwbMu3eT275hVof6HctjU0Y6HT+721qjrQufKq4xb+9fgY4jKXVJvn7RXJtyEAGY + GYeXrA4sAgql0y5GWnJWE6j+vKk5OwEAmpq9z3IDClTFJaUIOU4AAEaUX2AaW0TZ8eClXdbqH4eH + 0NSsd18+aC0DUBcbGcVZtigUEWAmnT6f250L0tTm7T36mxEN6mJOBvPZDIPQVw4TFP4xnpXXAsjJ + qX/6UOF7UlkJAArDOwWnrDddW3IIs46PlnJd1XEiAEzmP58XioLmBElgpmZ1/FzJzUypBj0jgwFd + v/zZiwqAYQqdxo9QzYxMJYeI/Vtb0rLhYdjlNDaoLt/mPr7z902q5vJfrKSBmRgWXtTdG0E9h1UY + 6kZfE1jwQdnxV9vOKX55HXOwpOYUHY6mO/FRo4cCvH5dK7Qo2xRdDk1kgqLNFjdNLmVL/ycrVQB2 + ehpnEj7Z3mYEQGnwidBudktJW7kuVAXIv5WEGQpCgAmKICpqqgAU5RQAWIxnZWVlL8n0mCdZCUkA + ePgwqVMPtqpD0LWEeC9+Hn8sJSPVE7GMmTCJBq/vZ3F+kyzPelAKahP1PpGfgITkYIDS7IdVnfaY + bbmWEH/cQbX5p/zkO+UAOnRLHv1RVP15VioAhXeTywW+A9TTnsRfvl3bT8v1wBm3EX0679ZaeT7+ + 2pnlZMn9y5NT8wE05swex32/kqmVjdOBS74dRvkOd3KlDwR25tFjid0cSKJsYqoOkJ99H9v9EMIE + RQCs8qcVALLseyvmGEyztpi7wGTqFMttMRXkGNMmrqWtQYFXYb/95Hbkyv2abuVOrLKnzwH69v3s + gUmGWloUKM/MKm+3jZGWkQeKmtryn3qxttYkMYAs/wULfIKTnzB4/npLi4sAFEer8u7vV9LWkgPI + yftU7xb68vrKTPM+H/lnWw8OP4oyU5+2fCUQsty8PICRerryvA6Qt1rjPq3zJDGqie0iDQrURQUE + dKufB0B+uDwNoKbmWfdejtBXBRMUvhU8LwOAu3/5p73pK9E6WyRiq+3G6M7f9QmgvHCN/UgKND2/ + E/ybg4WersnsxV6Hw5KLeH/Cd8AqCIlMA5DUUFf93FioBppaADk57SY6MBLvZIHMJB0eX0nbv9h4 + 5VojMYC6wmi/X+ymGEyZuuCXvScTOiZduc/KAEBheFcnHK+gBABlzzBDIdwYqxXzVAVanoaVEhGd + D6BqbvbpMtPDcivKAEBWthsVQXnhCispgPIzx0K797YwWFIC4PkzTFAQwgRFAM9eVACAmK7H+bi0 + xGsJibeTzrsZiEFd4kG/KDI0yFI13U6f3WKhISUKANBYX5FzI3DHWqtp0y1+i3jcIUv58I5R1t79 + hJOb5y09kcMWUbY00//8WMT1JqoDZD2827qBlZyZwZYwmsTXdAwZut8F/+XGSv0pAMB+W1uYGeL/ + 21Izs6lOR5KIm3SKhKkqyssztAbEzB3tP2dKWU9q7tjlwKWlkqrv5GhCA3Za0LEEcjSuItRr4TRj + vhn/Gj1uQT1rrHrrSko0VbuDm4qneFy/k5TColuQYGIgdbSlV4ilB+NJ5q0bt28k384urG+ExrJr + vrYv/vkreOnHd/qKS+5zL3V+vZiW4yaHHhkHIK+tIw+5GRkpYKIPAHA3K4tNmzyB79xHZrLjwcmO + zJr7967ejr57N7Ogmsluqs0Idv259gBOwvzasbKPuPkk1cHAuZs3kudv3bIaW3vGXo/2W3Q8TtzC + xSHyzqG8yD8C55m4Cr35B6GvB7ag8I0mpaCgrc65ziPVdKIhBdglZflERcUFVXyUgbWb14kLCck3 + Q/Y7aogBsHNDgtsvH9txobZhGlPme/xxPvbUUi6TFrplnKb2YKjNeNDcFZ+Skcqk6GoaCngSmpS2 + ieO6w2ei0m5Fn95sPIwCUBdz5lw5AEDfvlzGWnbAaGgAgO8HDBTwuohI1dGeq4OL2CLKjnu9iElP + modhvX/P2QLSt/+PnEur8aS0yMFKGuBpxGnB21bff/gAQKN9aig5Qt8CbEH5TLJDhwI8ffEcQJPo + UDqjio+a7ubfv2HeitCaR49zwaS1dYTLQm09TV9rEi0sMvNBOajL52ZnvBaZMLHTIuD8o0lpW+8+ + 2fTLHN/MnLyHAPKgOnwEBYoeFqaABc92mewnjwBo8sPlu31dJGTV0Wt+9kmoExlG9/bnNsVXKFTl + FUSg6MmT+wDtytYYh+MJDq0/5B6Zax9cyusEVH3nlUZXvJMSjgWlzOBn/lwbxpPC5wAjpXF1QYSw + BYV/zJqysrJnnYac1r5+BSA3dBghMX3EfMk1OgAAqr6hwWChBwRgoK0r0rzm/cM7d0pBY7Lxp9fX + bO3o5z4LScbUoN0ib7ra2iLwOjk1g+epktIymCAyUctA4NgRIaqj19vuSagDMeMNJ7ebyRAXiK62 + JgVqk1LTu30GcfpyJ3URqI46fo7Luv48se4/egRAU1b+7IHqCH0FMEHh172jDhZzlxy8y7k19/Ej + JoDMYDligmpz5/f5Cyxs/O9y28eqfV0H0KePkFvLqOpqowByMpKL72eWg7q2Hj/5CZRc+HWBxdzf + LpZz2/mC8QqA0jINWpz+kzENav46xWO6RNH5k9G1QDOeOYM0oxhQV3KPuu+JrW0SM/a6sN+CwOwE + AMTps81oUHUxuLtLrgGA0iJnugw05QQF3fyX39cwrifcYgJtip6gnaEIfZUwQeHXeDVVCjAToiPa + fRxWhwdFlIKIwTRTvj58vyBdbW0RYMYf8+/0CDPWg4CzqWyQmqgt7C9l8lqaI6DpYUbIvVwYoaMp + z9eLVLUmSAHknT7cefJ2ddSZyFIQmaDdMhWIamrnpC7CTjvi5pvU8eDquM1rTuawRTQc7EwxP+kN + Hh7dE1TURFG2P7Sb4OwEAIBqttRBjcLO3Oe4lctKR8y84KCrzz95Dn27JXoiwCwvfc3fRaujffYn + MUHeZr4ZllmEAMeg8E+cPtf8j/TIpN8Xuf+9w3ma7Lv8sIAjf2UwQdralo+Hg33x6GzpQalhRSfd + piRNsvmZPk1LdgA0VGbdDjt7MbGsSczYcdEEoQelPn6CZHDojcQ6kF88md/JQbq2NnoXD6Ql+tDN + ombPs5llPEIcgFF689rpS9F59RRle6ePv22lZXs2PPrZJyHUg37PyM6WPk1LdsDbyqybUcFnk8oa + QczYa88yskxSRV1hxf11roQNCotXzRpUVVbGsa/PQJnh4kL/xFZattM7z84rMWbD/KxQ87mLZ00b + IQ4NlQVpiREXYx7VNAJQZOfO0OnyHNI2K20upHEdqsKuL2t3m4zS5LS4S82Flu7sTJYFdREiGCYo + fKMaex1cVrTiVEFSwMqkgJaNoiord6/6jMGfPYaqv/60H3vF5uiy4qTAHUmB7XaJjllG0LdS/QmT + aKFRTLakthb/77nSNv4nGn9ZdzK95lGY/6Mw/497KBLG3oddOUZOSlv4BjSs++XI7bIOdy0iYeR6 + lAzfxREfWNcT4pgAUHbmlwVnOu6Udwq+6E7AZ7Y0fXeQiO+6LREVOREBOREB7XaJ0JSmrfPxslL5 + VM1Xd3KlR66Jqu+0I23v3LS9HTeKSBi5Ht1kTIK3E4RIARMUAVDHrwi+qHHhQsjV+PI3MEhxutmy + BfMndl7wmiAypl7Rk+Ym/HU9+m5aQVUjgKj0GL3Jc2bYmLR7LDBQfxgsOeTHAXz84fsO+FFyCPMH + Uf4jEP1hyGBJ8f4fz22oO3lIWqaoSfsFUDoGIPqD5JDBHAFRxy89GTPt3pUr1xPuJZf+DQA/jtAz + MDGhz+Hyy6aq2PjHmObGh16+2nzwIEWDiXPoNqYawv/WjfjBpVyV1v47aLAkj+P5KqxfBFXOfMul + ybbJ12Nu30hOe/oGoJ/UGINJFqZzDTlLV9/+Pw4Z3MCtqlBNVi43TjuTB207m2+/w2GDFA0mmprN + 4VbAEfp2fffff/8RHUP3eazfNH+epY6O1qcP/Vp4eW8fpaxkZ2tDdCDo61FZVT1vvm1qciLRgQhb + yNnQJ0XFPtu9iQ4EfaPGqk94lMtzIiLCFhTEBxbjWVX9hy4P6S+pMESgZ60ghBBCvGGCgvhQEr1t + dVhFl4cYrLu2VaAlqRBCCCHeMEFBfFBdGhS/lOggEEIIfUNwHRSEEEIIkQ4mKAghhBAiHUxQEEII + IUQ6mKAghBBCiHQwQUEIIYQQ6WCCghBCCCHSwQQFIYQQQqSDCQpCCCGESAcTFIQQQgiRTu9eSVZW + Vvr+g+zKqmqiAxEekb4itbWMyKirRAeCvh6NjY0aGuO+wUJVW8tgs9nf4I0jkhg/Xp3oEEitdyco + //vwv7y8/MLCJ0QHIjwNDW//r8//lVd0/WAchATw/v377+C7W7eTiA5E2P5lMgcN+vEbvHFEEu/f + d/0M1m9d705QqmteLrG31dHRIjoQ4fHy3j5KWcnO1oboQNDXo7Kqet5829TkRKIDEbaQs6FPiop9 + 9u4kOhD0jRqrPoHoEEgNx6AghBBCiHQwQUEIIYQQ6WCCghBCCCHSwQQFIYQQQqSDCQpCCCGESAcT + FIQQQgiRDiYoCCGEECKd3r0OCiFYjJy7lxPiS/7uJ6tpYm5mMIJGdEQAAFB+bd/x1NcqFnuW6AIA + QPqfntGPuRzXT1Z1vOYkI95hM0uSk26lppa8AfhR0XCS0RSDkZ9xh8yS5LiYxAeV73icrTlsvoJM + /9Mz+rHEpJXrZsl3Px5EPFbKae8rfSx97XU77mkrLf1kDWYvmq4hTiUivvYBlSZfj7mdWfkOYNBI + w4lTjToW36v7Au/WdnxVP9nR2uMndijq6X9uiiiUMFyxZrZ8dwJpXyunm8415PG7aXt3AugnqzrR + yNxQXdBfYnOV7Ke6wHOhZqeXll87cOxu7eifdi7p9MdDqMdhgiKYqhtbHTfFPGc3/xQffvKYgeeR + gzYqRL+RAvxTlhwbX8rW3dPy88vc2PgErkfGRgMM1Fq1N2BZx/efqjtHvLeFptc2tW2JORdAkdBy + 9vVbriN4llIdt9lxe+SLDmfTX/eH78dfWHPY3IMUHeN8MNilLciXubHxCYrSi9YJHAgik+qIkJMx + aZMm+XJuZj04umT1sYLGlh9jo88cM999cquptNADbFF9w9tlY1Qlu21D7MXDlB+nrD24r13xfZoS + H/O082vjw+Gw6JhlAX+uaCu+NQ/jYxLlZW3WCBoHM/Pkas/THWqlmJa9z05XI45fDuvBKbdVh3Lq + PgYcHbhPdIztjgMeRjL8X6+lSt6uGqYTskypw876p2kxseVsPVzaDgkDJigCYGUfcdsU85w9cLSl + zXw1alVyVMjNiuT9e89pnVqmTHRwXEkauq7UF2+/5W1V5vWrCXlvsg75BmhddG/3IIiqqI2LfBJr + 2SAqNXba7Kk6Mv3fVT24cfVOTk2Wv8vyxhOn3ccLkoaxHhxw2Rz5AkQVdObNNlYcBPD30xtXo9PK + UnY5b/0hYrd5+7BUf9piNYojyvvxlxMeFwSs3yx3Ye8s8Y4nR71WVcTew2lNnTazEnauP1bQSJEY + bWFDVx30d/7F0LDCmI0b5BSCl3b8kBQG1oODq36NqgRROT2rGaYjBgH8/TT++qW0itu+rpsHdiiT + Kgu856q0+/ld1YPYyFuPCk6t2jw8cq/55xRfVvaRxS7BRWwRmpLOTzOMFQfB33nXLsY8qskKXusO + gWdd2xKgqgjPXw7lMCk/jjWZPVNbpl9bJTr7m9uAo+Eugj7zpSkn6EQU3Y+OlQ8RBxMU/jGiToQW + sWlTtoT4W0oDAFjNMzhot/h0XnRc7jJlUj7z6XsFPSvLcZzbrO2WZO5btiykPDQ80V3duGVrdcTu + vYm17IFarruOOE1obS2xtHNjZgauXXskJ3D11pEdsoouMaJOn30KYsZeF/ZbtH17s3ZcFLXWzisx + 8cT5XHO3dr8xKVVrKwuO11vZWuussdqWEnsh2mXWUnkB7hmRFzPzkNvuFGbnHYyo8Nh6kLY+HLVe + nwoAYG1lrrzCelfa5ciMpR7CXw2cERV4rhzEjHz+8qO3tVJYOdhGeSzwToo9GWo7y7VdtRoy1sqS + zvF6SzvrCDdr39txYVEu5svkux1GzObVwUXsgRzNtFaW9ksiPO19E4qCt58wjGypR+nnTqQwKSOd + 2n+RsLJ1nn9k4fLgotNnoxzU6YI28zKTjp5Kp3tgXw4iDA6S5RvjRkJqE6gudLdse8eiatpt9PP2 + tNUYQGRgAqPpWM/UAGA+Li5o3ZR+9s/bTBFlx70BH7OT1oOd/H1tpKAu8dCpdP6vkZ2XzwaJmVYW + nG3L0vQVP6sClGY+KP/UGWQsredIAuQW5PJ/VURerIqYrfNdzhX1H6ks2Wknc6iZp+fuHXb6Hz9E + pU2NNABqiHlUeXZBDhsGz5xL5+xgkqEvt1MFePrgfvmnziBt+fMcCYC8R59RfIsj/oqtAxmbbR06 + kalyll5rjWgApX9dbunGLS94WA2gNWcRZzMndbyTsykN2Fn37wp4bUX5EQBVF4NDv6FHxSPSwQSF + b/ceZgFomJhwNDiLj59hZWltOJKooLpJXlwCAN6/f9/8IysuKrIGaMbOyzuPigMAqr6TrQEFqiJj + uQ9q4aaByeV7MgCA8hRXb88tCzW+//Q5VBR62+8V8VJ+drX1xpjn/6ey8uA6o85/e7lJc60sZ+tw + pAOVtZ0GTwtNQwOP4qs0baXnFu/5fBVfOYXPCyI9MrwQKLoOTvqda6U4fe4cSRCVFAUGAAD80/Av + 95NQtazXb/F2NZMX8OIKP7vSBwI78+ixRJaAL0Wop2CCwq+HT0vZQJMfLg+sinthR7Z6btrqfzWX + 0Tsrb0ZxAQBFSbGlmfp+bjoTaMZGprwagcXpMwxFgJmRkcLvFZRGjgSojT0b+rjjb2ikgZWl9Yzx + fHQW/fvuLb+XQyRX/zcDFCz2XAx0Gd+Hn+NZFRGnw8oB5BXkv2hcPIwcoQzwKvav0MJOxdfQ0tpq + hiZfxffdZ8XQ0igyyZj7tfSXBJy/Felp0rxXXVGZApB1JeBOxxYP8fEzrK0sDQTO9fuarHTQo0Bd + VEAAtmEigmCCwq9Xr2sBJCQpcZstFzrtCA6PjQ8/ud3W3Olodm/LUZgZB/wiqoA2Wb+ld7n8SeEr + gLGjNXi/hqquNgqgtvwZg8+LjPlpjh4F6lIPzJ88x27jkbDkvJc8vpPyxHpSUgogKSkh4OsQCcla + +N2K9DKX++Q4CFZK0KYNK2ymWPsm1IGY2ZJFhAzuUqVb64lAXfquBdOnL/Y6HJacVyNw8S0qLQeQ + 6H7xzS8qAJBTGcUrF5IZ0X4aszHdSgrYJaFu1nrWv+w9mXD/2Wd/eZK2XGwlBVB+5lho1WeeCqFu + wUGy/GpgMgFEr+3aXsX4XtfWdoYiKz/iUnRe4bHVW+UFGT0qVK9SjntWt2+NflddmF34vK5RRMJs + tUfrAP36BiYADOjf1T3Ii4sDwKvaFwD83aq0zdadTxw3xTxvfJkTE5wTEwwgKqYyds5suwXzJ/Lx + OVURs/2PK0ygGWvp83U9RGricnL8HViYdbVl4m7/sfb7NhBVsaRttngXOG6PfNFYk3MjMOdGIICo + mPL4WWbL+C2+O3+/ygTa5AndLr7v37MB+vbhq8EJgKq/ZuuaovUHsuqZxZkhxZkh/kAZID3GaPp8 + 2yVzVLu3khFV38nR5IpPQlrQsQTLHSbEr6WAvjWYoAiktorxcZy8tZW5xlo7r8TE4Ihicyci5kJ+ + ErP0biznIiOU/hKKRk52i5fNVvvSC8zJmG69rDX3xvlzIWHpj+saARrrCjNDCjMv/Mm5FAoAFFzZ + 4NluBO67mtzMwsqGJqDouK807nxm9PVqkpnuvmUIVCVHhdwMdrSs4JhHI0zSZjsitK3jQ0+HRKYU + 1jcCNNYVpZ0rSrt0jnMpFAB4EuG5KfXjj41VD7MKKt+yQUTP1dlEaAFTNZedipycfPlcYNj1gmom + G9gN1TkxwTkxF88KuhRKG3ELF4fIO4fyIv8InGfiOu7TL0CoJ2GCIhia6WLnj+Pkpekrfg5NPJF/ + N7ncSUmewLB4+bgOSsuiCLXsvhoL17rPFtJbPlVcY46bxhw3YNbk5STfDrsUmVJY31ibsmtjoOrl + du931bkxnSYLiErpOvnstiFsnS5ECG1rF20AAKt59JC1lvuSfI/EzdhpRsyXd6q4+mzXg7NdgVmT + l5NyM/zypdSiusY3t329A8a0X0Oo5kFsTcfXig7Rd9y8T9jFlzbSwG6Lgd0WFuPZo+y4a6HhcYWV + DY0FZ7f5KV860K0lTZQWOVhdXBP6NPTYRZtj88nZUIy+WpigCGasmjbHe6WyghIN8qteVwLIExRS + V9qvg2Jlaz3RY4F3UoLPr4eHc6y6JishCVBeyyjv4iYKKisBYJisCq8DukaTUjOwVjOwdmVmHlrs + cq7oaczVFNdxba3fHRdqGyivM37scMIXOkcEosrZLbQ6kxl6O+0umAmvHYIrmpSagZWagZU7M+PA + 0jWnisqjYlPc1duKb8eF2sTktHug+EpIDgZ4+brTQvqtGCmxD4dOm8q1v4kqPlzbxEnbxMmzInyj + w9bE+oTYGwy6TXfyC6q+80qjK95JyaeCUujr+Zi9hFCPwUGy/Bo2fBhwGaghITkY4J+//yEkJgHJ + 0H18baSAXXJmV+CDdiPoxMeMHgFQkPeQ96g6Ru7DEoBhigqfmzPQdFattZIAqOV445VStbaybPfP + RBuzEwTao8YAMJ89Kyc6kDa0CWtW0wcDvHrVfrT4kLEcpdeyZ4qvyrARAMxynnefHefnbm3mFdfl + SahyVpsc9ADgJaOyu4GI05c7qYtAddTxc8XdPQdC3YEJCr/khkgBQHHZA87NlS9eAAyVHUpITAKj + 6js5mtCAXRR6oP17jbqOvjSw78ZFtO9nYcTs2xZyr4IFAFAUHZMFQBs7djx/1ym/ts9z04Y/uS/s + pq08iut29M0qv3Zgg+emTuWl+p86AOjXT9hf28uv7tu0wTOYR/EdOUY4UYjrTVQHyL11o4jbXlbc + zUQmsAcPlgIASP9z0wbPA1fLuZ5n1Gg+ByjzpLTImS4DTTlBJ243fOapEBIAJij8am5mqEhKfdhu + I+tOTha73YIi5Cdu4bJEBaAp58y5hI8NJrpz56tR2JlH/aLb5hOykm+FRvg7WdMdT926dCQkhw0y + c2fy29L+fX1RbHzM2cQkLm0yrKSs3M+afom+OtLvqxJi4wOi4zjKS3XavccANElJYY98+P7fkviY + 2L9u3OFafHPuAwweLISY5NjkknMAABYBSURBVOmzdSlQePpIROdZvsXnLsQxAVRNZ6oDALyvyY6J + DQ2/y23Z16IH6RUAQ8RlPyMUqr6DwyQRYCadCe92QwxCAsMEhW/qZuaqAE9Dtx3MaFkSgZlx1P/a + K6CZmfSmmSZK9ovnigHUxZwM/tiIorTIdbGySF3inkXuIXlMAAAqfcuFffa6A/9NP7RhexITxIxX + LeP7qRzipiaTROB1pNfqEM617FgVEV47rjJB2tgYZw+jVlQDnQkUYN44czSzdbkRVmHo9qA0gdLi + HiNuOk2XArUXN68LzulYfD13XmeC1Ixpwii+4vSfraSBmfS7o3dMxcc4WBURG5cfy2PDwJmLfmqe + Pag/zVgG4P7hX3fFl3As2MLMOLDpdD6IGEwz/byUSnz+CpsRAGx25wc9IvSl4CBZ/ikt9rCPWx5c + dNp16m2daSpQfCenuKGJou64lOcKrKRENbZfrBZzKC//zxNRlq1PK6Vquh/eUPazT0KSv83UINkx + WurSou+q8/LrW9+PfpSUFOAuxeevWBFT4J+V6m87LVBMZewkBTGAurK0R4/rGoEy0mm3Kz6CDH0k + Pn/l0siMgJJTLrPvaukridU1Vy7B0uKeDMdx1fXHflnpfotn+ospj9eTGwTwd1l6dmF9I4goO251 + F87zC6n6v+62z1oeXBS1dXbc/tHjdRXEGquyM3NqGgFEJMzcV7c9VHnCEg/L5A0RJaHrFoYPkB6j + oybTD95V52UWVDPZIGbstfnzJ+CoO7nSI9dE1X/ueRDiG7agCIA63tV/p4WCKDSWZcbEZhY3NImO + WbB/DyGPg/8sSoscrKRbnlb6cau0xYFrRzymyYmy31bm3I2Jjb+VU81ki0hMWOD+00jK079W0Fce + 7rSQNk/qdgHBe5wm/EiBxrrCzJjY+JjYzMd1jRQJLafff3cf36tSOvTljXP5fb+jlgS8LU6Lb6lc + Cha//0XUEojq9seCDjtqSVCgsa4oLTY+JjY+rbC+kfKjruMufzeuj6z6IqjjXc+HeM5WEIXG+sdp + 8TGxd3NqGkF0iL7rgSt72z+JU9xkS8iZzWYKosBuqM65Hd9af0UVZnme2G3RnUVQOsXSvPg9QkKD + LSiCkTH1ijZaWZR57+FLltgII0MN0kw2Gaq/wluGObhtAN8YK29P/QEK3DueqfrOu7crP30LfT5U + AHwcQkebYH/g0gLGs0fZ98v/ARAdPG6ijrI4FYBlMM5nw564a+nP3Cfzu7QDVW6qe+BU57azcZ08 + 3DHsLnR5R6jXGGrg7CnTIKnacbu0kdux6wufZN7Lr2mkSqlN1BlFaOWiyk11OzZ1OePZo+yMinrg + PnmY171wofqT5xb9/oqCD6enqljujpy17tmj7MxndQCiQ1T1uP9qaGrWO6KtN7zMz8ksfNUIIDpE + WUdDbYigCzJ2USWlbdbvp+a8Ail+bhihz/bdf//9R3QM3eexftP8eZY6OlpEByI8Xt7bRykr2dna + EHBtZl5ejZoaPmH4q1NZVT1vvm1qciLRgQhbyNnQJ0XFPtu9iQ4EfaPGqk94lJtBdBTkhS0oiG80 + NbWR5Vf3Bd7luXYUAACoWOxZgiNMEOIl/U/P6MddHiFp6LRulnwPX7b82r7jqa+7PGT0Tzux7iLy + wAQFCeR7GTUtna7XQhDGDEyEei/xkTpaXXe80GS+wNov38uo6Wh1/VBmKay7iEwwQUECEdecYalJ + dBAI9WYjDayI6CgVHz/Dis+VFhEiBZzFgxBCCCHSwQQFIYQQQqSDCQpCCCGESAcTFIQQQgiRDiYo + CCGEECIdTFAQQgghRDqYoCCEEEKIdDBBQQghhBDpYIKCEEIIIdLp3Q8L3LN3f0HB4z59vqH1cKn9 + ROv/qadS8KnnqCeJUqmNLBbRUQjbhw/v4f++6/NdH6IDQd+of+v/vXTpLNFRkFev/2i3sJg9fJjg + jzDvtaKir44fN3b8eHWiA0Ffj1oG46+LYa4rnYkORNiSU9LeNTZON55KdCDoG7XX7wDRIZBa705Q + amsZ06Ya6ehoER2I8ERdudavf79v6pbRl1ZZVb3DZ883WKgKnxQ9KSr+Bm8ckUThkyKiQyA1HIOC + EEIIIdLBBAUhhBBCpIMJCkIIIYRIBxMUhBBCCJEOJigIIYQQIh1MUBBCCCFEOpigIIQQQoh0evc6 + KMIUtXaCVyKvnUY+uX50YUbDA6swNuD/27vboCbOtQ/g1zNtEsbm8DAFPWLVAFq0KiTyWl6UnkKl + pdYoIhYVbC2IIKjY6ikW0INURqvVghUQ7TmCSA+KJdRitUShAhEICIIWoSj4UmwlHcaJPiTB8fmA + CIHwTskC/9/4hc29yRVnd/Pf3fu+NyHlZEF1UzMRe5yBifUS79VrFs7htjfJDOFHZXdZUUdvvLHF + koBN3v/gcdqWlccs8Uu82Z+vJtpiFpFLRGQXkhPvpa+hhTjcITRDTmTik/JdkLl67fWX0/4Tl5Fd + daepmUhHlzfzDc8A7+WvtxfUQUNx8tGY1Ozr9x4riXT0psx8c/H6DzzV22aG8KOyNXwQaFlNoo/7 + wb/3uF3JRJuXhYkN/JLSNmh3SkJF/eW0k9+cya64+adc+Wyf8vIPWv2m2qZ2NdZz5ZE6Nj/g26QP + X+38Fp32o9Y/O7VhcQ2MbRe/7/uh2r4KMMbhCspQYL/I0nYJRCTL37fCe3viheqmZiIiUj5urMpN + /Mz/va2Zv/W2bnPTg18uHN7gEyZqGIpSSkrzNE6bnl9SINe4QsP5iPfd/WNPld15Vnzzw/qyzC/8 + VyyJONel+F/TArzX7M0su/dY+az4O2WnY/08/Q5dGXOTtY88sqzE41U9N1HkHz0k1ryhDKuGc+Hu + K/z2pkmq/pS3bmrKx41VubEhK97WtE8py5MPiWQD+iSVvLFafCRytTB0aHZAgFEBAaWvhF8WVZR3 + +ifaZsci4r4RusFN2+WRQnxg23+rlbqWvp+nX7hUUV5UUXQ2fa+PpZ6q8dzuHanqhz0TnxT17yI5 + d2iLvS415UZGpg3sEPscm80iZYn0koaXrpZK/9CwWJa11ftj0T2lDm/hJ1+2Fi+9kBz3iauxjuqO + KDI4trRj7qj5Ztfugofsyc4RRzMlbcVHuL7Cbq6KC/0qHxGF0RpEn395tqnnNjUpCaJeI/VfTiEO + fz88465Kx9h1y9fJOUVtW9q7PB1SNZ7bHXyovMs68uy4o33bAp2iOu6ARWd/OLp1obGOslEcuSH+ + 6pB/F4CRCQFl4H47vSdGomLb+YW6G2q7FqLs7KwmmuARnhD8lqk+h4iIo2/6VlDCLo9JpJKc/bGu + x7W5E618Dmx5j0vKgtzzg0soUywFE0heIM3v8krNZUkd8ecI1JcqsmOjzz0kPaeojJPR3o6txXP0 + Zzh67zyZ9qmLnqr6yI597Uf9az+drVSSYOOR6GVWE7ltxS/bc+gTOxY1/PijpmAEzNBwPiIwUvyw + 50Yy0eHEctL20zAV+fu/ymgiPecwUcZOH8cZrbsUd6LVsl3HT4U7cElVnZJ+vmsWaRAdO93/ayAc + /alWHtH/PeBnylJWJ8cN9hwBYJRAQBkohTjuq3w5e876zV6TtF0LEV29WaskMjd36tRjg+Pg8jaP + qPx619O9zjiutrZE9ODB3cGVMtvKnkt/FF7pfCJYl3fpGs2eZ2+gvjQlKauJJnrtihJ2iXkcnnvY + Zicu3U8//vzSeW1NNZGJuaBzY0P3BTZE8opfev+ioAXyosNrvD8W3Rvn7ONp0n0zRX5CXK7cxGv1 + vOErTQOZ6Hj6fdJz2x69qMvezeF5vO9uSCQXZ6t35npD6KZHKkl8QvbALuNxLPw3CSeRKu/CIM8R + AEYJBJQBqklJyWoivXdWrjTVdilERKT7EpeIbt3u+vNsEZJZVNG3jq7GxkZDUQvfypZFN0uldWpL + ZRJpGRnZ20xQW1p38WI50exFyxw09YUl0hd6uBt2vK7zEpdLdLf+VpffAI77/oryooxgPOeZia7+ + e29syf8ZOIUcjp73v903q0k5mt6gu3i9H2/4StNAdj43T0nTPJa4aN4qbV0XWdr67ljrpLb0RUv/ + QDsWNWUdPDzAlMxxcH3XhEhSlIM7lQAIKAOkECcdq1TSTO9VzpqPYMPOaM7sSUS1Sf8MPpL360D7 + F966VTcUtbzgaC0gqrws6XgeqMgrLqPxVpad8sO16utEPBuLLmMfnrO1f8OAqOzK5dY/BWbmLFLm + Rn3w+fdlMhzGRwwOb2F4wvcxXq/1sMPIMg8drSS7jwK6yQXDpvBKGdEUJ8duw655YNyR4Lemdx5x + Y+i1xn0SUW3S4dQB9nXlT3+VRXTvXi/diAHGBASUgZCJMrKaiG2/cCkzLp8QEdl8sNFVl5R/5sRu + XuIwf97y9Tti0/Nu9OcnXJaZU0jEnTVr0Ncg9O2sBEQlVwrbFylyCgtVXHtLB/WW1+vrlUTGU2f3 + 8G5WpjOIVLX111rf23Odtymbmq+Ltq1+x95e6L1xb2K29HcGjPmAHpiv/SLao+chtIr8xCPZcqPV + AVq/Z3qt7paKyMRkbv9Xtfkg0JlLyuJDceKBpefJU14hqhuaMwWAEQ4BZQBk4oulSuK6LhFqmupD + W/Tddh7d7T6Nyyai5qaq4vQjuwM837G39wo9Vdnbz7f8d+mprT67s+Wk5zxvCO7+G1lYm5CytLK9 + o+wlyUU512W+c6eGLU9aiOjFF3o6Y+a88CIRPXnS8uxv/rpjh9Y6TNQhIuWjhrKctJiPA10c5r+1 + 7uDFelxSGbGqT8Sn39cT+vtr/x5dS0tL7426oS9c5y1gU5MoIQG9oQAGBwGl/+oyvy9Q0fi3313A + kNs7bTg8t+2pkosn4sJ93ART9HSIiJSPas/s9H9vW5Zat7ubSSv5Nmbt/950+WjP2bsqtoFr6MYh + uWnFt7Q1oAfSkrZjdL60SM62tBqino9ca9/4cz/lHNu1YaX1awbj2EREzfclSRs8/QZ69x+0SyaK + Ty4j68AAptwz7epqrKeZ2l5jY7Y5U0M70xXrlk4kqjsWl6r9wdIAIxkCSr/JJNIyogkuDg69t9UG + 7nRHj6Ddx9IvFV747ut/+ti8zCZV4w/x3xT1sA6La2Dq7BtxTLTTbYguCjlY2XCprrikjoiIyksK + G8nSwnFAPz6KJy1E9MILnWY95ugLXPy2fp0mzim4cHh/sKuxDlFzVUI8xmiOPK0zs03zWevFgAH7 + g8Zx8PN14ZJSkvqfAU7Lw2Jhim8ABJT+U+QVlxEZOM9jaD7pgDvdcemWxH9vc+IS3b8svdb+SueJ + 2vIl4uMHgod0mu15Fq+zqaxIIiOiutLimySwsesafsyn8kjz4KN20uobRKxpvG77qXD0BS6+O0/G + r5xGpJR27PkCI0JNSoLoNz23oLXav7tDRER8njER3bx5RW2peXBa+y6T5DOthzfQXxT40Rw23U9P + OFHTv49W1NTUEb0yeXJ/awYYhRBQ+itPWqgiNl/A/HzyjOHSRfO5HftwDA+Og43lsznv6y5cKqM5 + /3jTSEMz/iwBUa2kuPvjeHlJYSORYO7rvX3gXOEiPpGyRTWYsmH4lZ/LLFdRU1aITfvdkzAxEdUl + +tiY8T1jhv22HX/OHKI7hdJ+posOXl350VLD1snv+9V/W3rjOhF72nSGRDUArUJA6adrNdflRJbm + ttouRF1mCN/GzGVP19lbtUefbz6dlCXSSzJJUSWZWFgZaWpltOg9exZd+zapm8mtZKLjqTeJbe+0 + QJ+orR/A2lTcxoG/kJHwbUc2XTueMsAp14iI4+Af4MQleXbciconfV1J8XOu+AGxreYy7PACoB0I + KP30a201EW/mDCaN3yEiczM+0YPzpzQ8aqwhPfNnOXHNXhvuk7JZfMEEkl8pO15UQhNs53bzSGF9 + 4SrhJHqYsTMsvcsYHEVVatiXuXKauHTVswFT5q/N4hKVnE4t7fLLobgiyiwn4g9+lDQML35QRuen + XBVFORORkV9SUUW5Nh5orC9ctXQiNWX9a1PqLxoyiry4oPT3PryHlwlRQ7Gkvm8fqihNiP3hD9J1 + W8qo4YEAWoOA0j9Xb9dTb/N2aIORcKkTlx5mR3244l/JbdOfKGQ38pK2Bu7KlbNNPZe5DHtRDpb2 + XKr/TnRZ2VOXHY7Dxmjf6eym3B2eq0KT86plCmotPTl82er9eU0sU98dHz+fZ9ZliZcJKauT/Jdv + iTnTNv2J/L70zD7/TSm1pPvO8kVGf/03g9GO4xCyw8+U1VSwf5V7yPMtTSG7LT1zcNNitzXxlXLS + mTWz5wlv+f7r3fT68mny+5V5yaHLNyVWq9gMmKcOgCHQWbx/7t65R8TV02XcEURfuD3mdmDgkaqK + 07EBp2PVXtNziopZ180FjL+Uo5UtK0Msl3Pn2/TUZYdjEbwv+kFgqKj+zN7NZ/Z2fIk1RRgRG2zR + 4b+b73/g07tr9p29lZv4WW6i2vuwTH337HwXJ58wFDgWG2J2KTaHJ13PT/wsP7HTqzo8j+17P3Xr + ZUZ+jot/oN1PuyRdu0XlhvFtwrosZU92i96u9XnqAJgCV1D6R9WiIjIYP17bdWjAtQ5Ounhiq7ed + qcFLLCJqHTxst3Jr6g9fdH0O37DgOFoLiIhta9HbBCiGCyK/PZ0Q7NE2fQvp6PIEi7YknPgu0rXT + 8ZrDc9+TdSImaIGAp9valnR0eYIFwftPnFCLMgCDY+i0JTUr9fP2WYWIPc5gprX3JzFnfj653Y3X + h43N0CvAq6fxPs/o6PHM3IJ2nz69Y8FoGGgNMDT+5+nTp9quYeC2bP3Mc5m7tbWltgsZPmERkTNM + X/Ve5aXtQmD0uPdbwzLPVQV5Ym0XMtySj6feqK6JiozQdiEwRpnxbSrKe5qiaozDFRQAAABgHPRB + gT4oP7jYJ6m2xyYukUX7hcNUDgAAjHoIKNAH/KCM8iBtFwEAAGMIbvEAAAAA4yCgAAAAAOMgoAAA + AADjIKAAAAAA4yCgAAAAAOMgoAAAAADjIKAAAAAA4yCgAAAAAOMgoAAAAADjjOyZZA0M9G/fufs3 + 3b9pu5Dh8/LLevJHj6puVGu7EBg9Hj16NHXq5DG4UT19Si0tLWPwiwNDGBnxtF0Co43spxnv2btf + LM7RdhXDivvSOPmjx9quAkabmTNMx+bvtIG+QaOsUdtVwNh17qxI2yUw18gOKAAAADAqoQ8KAAAA + MA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAw + DgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAO + AgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4C + CgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIKAAAAMA4CCgAAADAOAgoAAAAwDgIK + AAAAMA4CCgAAADDO/wPr7MWPIEvn8AAAAABJRU5ErkJggg== + ) + ) + + (text "Pinout taken from the user manual for Gigabyte MZ32-AR0 \n(rev. 1.0) motherboard. Matching the Gigabyte CTM010 module." + (at 185.42 158.75 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0764b5ec-c4f0-445a-883d-a1c8762b9346) + ) + (text "Order codes\n\nSLB9670VQ20FW785XTMA1\n(standard temp. range)\n\nSLB9670XQ20FW785XUMA1\n(extended temp. range)" + (at 55.88 149.86 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b98d74e-ae25-4f08-b792-66a63671d024) + ) + (text "UNTESTED - COMES WITH NO WARRANTIES OR PROMISES\nTHIS MIGHT KILL YOUR COMPUTER AND SET YOUR HOUSE\nON FIRE, YOU HAVE BEEN WARNED." + (at 43.18 60.96 0) + (effects (font (size 5 5) (thickness 1) bold) (justify left bottom)) + (uuid bfa2b9db-c4e9-4e4b-afb4-03c1205c7e0f) + ) + (text "https://github.com/electrolama/tpm-modules\n\nLicense: CC0 \"No Rights Reserved\"" + (at 179.07 179.07 0) + (effects (font (size 2 2)) (justify left bottom)) + (uuid dc0d87ac-c73d-4a61-938e-ca32f5210d98) + ) + (text "Pinout taken from the user manual for Gigabyte MU72-SU0\n(rev. 1.0) motherboard. Matching the Gigabyte CTM010 module.\nhttps://download.gigabyte.com/FileList/Manual/server_mb_manual_MU72-SU0_e_v1.0.pdf" + (at 134.62 148.59 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f986913b-4341-412a-8aba-3b62ab1392e3) + ) + + (global_label "SPI_CS" (shape input) (at 41.91 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 366c32c9-d923-4f72-bd34-448168a8fd24) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 32.9655 105.4894 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SPI_CLK" (shape input) (at 41.91 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4d2a0d50-50fa-426d-82c2-021c33c76b47) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 31.8769 102.9494 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RESET" (shape input) (at 158.75 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 50cd9ba9-5f47-4316-b09a-742056b06f9e) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 150.5917 85.1694 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SPI_CLK" (shape input) (at 158.75 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5373b9f6-6594-4bd7-899a-d119034b9b68) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 148.7169 82.6294 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RESET" (shape input) (at 41.91 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5555f5df-f5d0-4c66-b052-29c2bc10ea64) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 33.7517 115.6494 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IRQ" (shape input) (at 41.91 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 89db5051-4a31-42cb-a8b2-e26dcf85b590) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 36.2917 113.1094 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SPI_MOSI" (shape input) (at 41.91 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c0e35a9-d78e-4fca-8dad-4f733fe224cd) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 30.8488 110.5694 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SPI_MISO" (shape input) (at 158.75 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c6029d83-59ff-4a65-916e-17d5f55ae8d0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.6888 87.5506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IRQ" (shape input) (at 186.69 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cc0c536a-9dde-40e5-94fc-f6e2e5f790ea) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 192.3083 87.5506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SPI_MISO" (shape input) (at 41.91 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ec25f087-c30b-4af8-820d-408e99428729) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 30.8488 107.8706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SPI_MOSI" (shape input) (at 158.75 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f0b174fa-3da8-47c5-8bb6-75c1befa7809) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.6888 90.2494 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SPI_CS" (shape input) (at 158.75 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fa50f305-97aa-4e8e-9808-e32bdf1c646b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 149.8055 97.8694 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 186.69 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 016e5a55-1683-432e-b806-d5eae0ef4f5a) + (property "Reference" "#PWR0101" (at 186.69 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 186.69 106.68 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 186.69 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 186.69 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f7a32482-ffd1-4b36-ba99-0edd5803e8da)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0101") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 111.76 109.22 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 02bd4d54-882e-439e-b1c2-88f37e7d09b2) + (property "Reference" "C2" (at 115.57 107.9499 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 115.57 110.4899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 112.7252 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 111.76 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c58f7f1-39a6-41a0-b3b3-4f514e7a9058)) + (pin "2" (uuid e58d7232-4f0d-46f0-b147-e228a7675d5f)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R") (at 62.23 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 0f686736-c384-425e-914f-dc595efe9302) + (property "Reference" "R3" (at 64.77 88.8999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 64.77 91.4399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 60.452 90.17 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 62.23 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3840c4e4-559a-47e4-bae8-a3b51a6b4a12)) + (pin "2" (uuid abb3f4f2-0f71-4f80-a1f7-872ed0f99671)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 100.33 109.22 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 1ea9afa5-4d26-4a92-af54-01c5ef371380) + (property "Reference" "C1" (at 104.14 107.9499 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1u" (at 104.14 110.4899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 101.2952 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 100.33 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7beb4d5-369e-481b-917d-2af9a8129d5f)) + (pin "2" (uuid 269646a9-6590-40b1-a2eb-8a438218a275)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VDD") (at 93.98 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3c1e3723-4b86-4d23-acb4-bff87a8d4a1b) + (property "Reference" "#PWR0110" (at 93.98 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 93.98 92.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 93.98 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5fc091df-eec8-432f-a240-c99ab3182a7f)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VDD") (at 54.61 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 41193db9-d24d-46bb-8165-a23698920a71) + (property "Reference" "#PWR0104" (at 54.61 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 54.61 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 70bb3ada-4fa5-49b1-9317-0e8b43f5b676)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0104") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "tpm-chips:Infineon-SLB9670") (at 80.01 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 41d51542-cb1d-4b70-a974-67a29a1b4ac7) + (property "Reference" "IC1" (at 80.01 99.06 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SLB 9670XQ2.0 FW7.85" (at 80.01 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm" (at 81.28 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://datasheet.lcsc.com/lcsc/2108140030_Infineon-Technologies-SLB-9670XQ2-0-FW7-85_C539139.pdf" (at 80.01 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "17" (uuid 2c123f72-1565-4b26-a564-1ddb2d2da37a)) + (pin "18" (uuid f0be63ef-fe9a-4a3f-bffb-882cd8db0bcf)) + (pin "19" (uuid cce6abbe-edca-499d-ad30-ec4561c3952b)) + (pin "2" (uuid 3823e7e6-f26b-4dea-89b5-035cf6ed8e04)) + (pin "20" (uuid d789d7b3-e60b-4320-ad3a-479f75dd960c)) + (pin "21" (uuid 72c04069-bacc-4b39-9080-56df15025e09)) + (pin "22" (uuid 544bc2a4-627a-4afd-a178-6ca304f54c6f)) + (pin "23" (uuid dea9e11c-8055-48f7-8f2d-4cc36b5c5b33)) + (pin "24" (uuid 68b4bee2-f7b2-472e-b325-ce4c77ea5412)) + (pin "32" (uuid 64f3b665-870f-4825-ab35-c0aa153be1a7)) + (pin "6" (uuid a4675e03-baf8-464f-bdb3-eb8353aa4537)) + (pin "7" (uuid 253cd364-6bd1-4765-bd30-165dfc9e4f0d)) + (pin "8" (uuid 338ebe28-0475-4bad-8e36-4ed97274c154)) + (pin "9" (uuid 0a5e2cc4-0ddb-4730-894a-e345dcded429)) + (pin "1" (uuid 9eecb7fd-3de0-480c-b436-61eb59301b90)) + (pin "16" (uuid 5109e988-7c3e-45f2-84e8-55ee777ad8f3)) + (pin "14" (uuid 0fcfd954-82db-4565-b38b-342d208e65f8)) + (pin "33" (uuid 444e7528-3aee-47f0-98ff-75b1ec9c9eb9)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "IC1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VDD") (at 62.23 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 458a321f-eb6c-4815-ab37-f7f9586c331e) + (property "Reference" "#PWR0103" (at 62.23 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 62.23 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 62.23 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 62.23 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c6b2bac4-0922-4e43-b6ce-96e9370698dd)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 93.98 130.81 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 55b502b2-1f28-4110-a3a4-510044031d0c) + (property "Reference" "#PWR0107" (at 93.98 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 93.98 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 93.98 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cb4dd998-271e-4915-ae79-9df2634836d3)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0107") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R") (at 46.99 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 65fbf09a-dd01-444a-b7c8-40da949ce9b7) + (property "Reference" "R1" (at 49.53 88.8999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 49.53 91.4399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 45.212 90.17 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 46.99 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 71d330e2-b9d7-4d24-98fe-640aebffe9a2)) + (pin "2" (uuid f484047f-488b-42f3-a68c-d59ed81e44fe)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x07_Odd_Even") (at 171.45 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 7f7e32e8-e47e-41c2-adc4-ebdfb13f57e0) + (property "Reference" "J1" (at 172.72 76.2 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "C84005" (at 172.72 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x07_P2.54mm_Vertical_SMD" (at 171.45 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://datasheet.lcsc.com/lcsc/2304140030_BOOMELE-Boom-Precision-Elec-C84005_C84005.pdf" (at 171.45 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 46667a1e-834b-4539-9723-2accf3d6b47a)) + (pin "10" (uuid fc7fc34e-0df4-4169-80d0-82180c3814fe)) + (pin "11" (uuid ac067481-a60f-47a0-8944-6ebcb780b973)) + (pin "12" (uuid 856f8afe-97b9-4403-9167-bfb94d28dbd0)) + (pin "13" (uuid acc047b0-dc5f-4f41-ac7b-90565e034c52)) + (pin "14" (uuid e944893e-f905-416e-8d4c-54f383e25d4f)) + (pin "2" (uuid 1143cf63-6957-486d-8fd8-8f0cdef67cee)) + (pin "3" (uuid a33c9c92-5a58-4fb2-b8ac-5cca7839e0f7)) + (pin "4" (uuid 7a5bd851-cc92-42de-8a3b-f930877fa2a7)) + (pin "5" (uuid 131637bb-9897-497d-b273-b06bd78182f6)) + (pin "6" (uuid 187e38e6-55ab-4347-bd4e-6dbd8d258d5f)) + (pin "7" (uuid f68f3684-fe7e-4f38-9648-553ad1dd7173)) + (pin "8" (uuid 9eb7482e-6a1f-40a6-b351-972a3c02b6a6)) + (pin "9" (uuid 272e2130-653a-4bd9-9a73-bd7124848960)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 123.19 109.22 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid af85213b-cf47-4ab2-b231-2180cae1c450) + (property "Reference" "C3" (at 127 107.9499 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 127 110.4899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 124.1552 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 123.19 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2adae233-0d59-4990-9bec-b9b771b13c8b)) + (pin "2" (uuid a5a5d2e7-61a5-4932-8b5a-1bb634f0a515)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VDD") (at 186.69 82.55 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c003cb15-2a17-4806-a20d-747206c4d7a5) + (property "Reference" "#PWR0102" (at 186.69 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 186.69 77.47 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 186.69 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 186.69 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 70d70fc3-ef55-4e90-ac94-9286be581b61)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R") (at 54.61 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid c7fefcf9-ba90-436b-87c6-c31455c0a6e5) + (property "Reference" "R2" (at 57.15 88.8999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 57.15 91.4399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 52.832 90.17 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 54.61 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7de613e7-0daa-4923-b085-5083343e34f3)) + (pin "2" (uuid 8bf7fb58-9ae3-44b0-8790-e0302f1e7ae6)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 111.76 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid cff8fb1e-81b3-467a-9e25-dd9e1591c7ef) + (property "Reference" "#PWR0108" (at 111.76 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 111.76 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 111.76 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 111.76 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8bc3bd5f-0a18-4444-bcdd-7552eb84cdac)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0108") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 123.19 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid e60ef358-8a52-47c8-a321-19e67595be6a) + (property "Reference" "#PWR0109" (at 123.19 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 123.19 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 123.19 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 123.19 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6e856323-b2f5-4016-a8b3-8575fa5eb735)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0109") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VDD") (at 46.99 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid eb566239-4dd0-45cd-aa00-9ec9499e8197) + (property "Reference" "#PWR0105" (at 46.99 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (at 46.99 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 46.99 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 724b563b-63be-4b66-9a0d-93b9ae462185)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0105") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 100.33 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid fdcea6fb-eb99-4010-b915-02fa655c5a7e) + (property "Reference" "#PWR0106" (at 100.33 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 100.33 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 100.33 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0258a301-0b7a-43d2-b0b9-aceabb4f4c9d)) + (instances + (project "tpm-module-14pin-spi-RevA3" + (path "/840da4aa-8475-420d-88a6-bfaedb52807e" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +)